SlideShare uma empresa Scribd logo
1 de 11
Baixar para ler offline
Verilog-AMS for Mixed-Signal
Integrated Circuits




                                                Powering Your Ideas.TM




               Zilker Labs September 30, 2006
Introduction

Integrated Circuits are increasing in Size and
complexity.
More complex interface between digital and analog
makes verification difficult.




                                                    2
Previous Simulation Solutions

Mixed signal simulation with two simulators
– Complex interface elements
– Convergence issues
– Slow simulation
Verilog simulation
– Analog must be modeled as discrete with limited checking
– No analog results
Spice simulation
– Need synthesized netlists
– Very slow simulation




                                                             3
Verilog-AMS

Verilog-AMS is a mixed signal language and
simulation tool that can be used for mixed signal
modeling and simulations.
Benefits of using Verilog-AMS
–   Simplification of modeling mixed signal circuits
–   Possible to greatly decrease simulation time
–   Mixed signal verification
–   Architecture design and validation




                                                       4
Modeling Improvements

Verilog-AMS uses most constructs from Verilog and
Verilog-A languages
– Analog and digital content can be contained in one model
Signals can be declared as “logic” or “electrical”
– This allows for a model to have both digital and analog I/O
– If done correctly, no connect modules or interface elements
  will be needed




                                                                5
Modeling Necessities


Polarity of I/O and dependency on control signals
Dependency on analog signals (power, biases, etc).
Timing
Bandwidth, Slew rate, and Voltage limits of analog
signals




                                                     6
Special Model Considerations

Some models may be created with a fast/ accurate
option

Hierarchy of circuits should match physical
placement
– All pin names and directions the same as the schematics
– Same circuit name to be easily imported


Matching of signal types!!
– Important for simulation time



                                                            7
Zilker Labs Design Flow




                          8
Successes

CVS use of netlists and models
No problems with analog/digital interfaces due to
polarity mismatch or timing issues
Less chance for miscommunication in the conveying
the operation of the circuits
Fast simulation at the top level
– More circuit functionality verified before tape-out
– Standard testbenches with pass/ fail outputs for regression
  testing
System level simulation
Functional samples at 1st Silicon

                                                                9
Areas of Improvement

Incorporate models into schematic environment
– Allows for common testbenches
– Better verification of model accuracy
Architecture level modeling using Verilog-AMS




                                                10
Conclusion

Verilog-AMS can greatly improve design cycles by
– Increasing verification of digital to analog interface at the top
  level of the design
– Significantly reducing the top level simulation time.
– Determining appropriate analog specifications for analog
  circuits.
– Creating an appropriate environment for chip architecture
  design.




                                                                      11

Mais conteúdo relacionado

Mais procurados

Jeda Hls Hlv Success Story V4
Jeda Hls Hlv Success Story V4Jeda Hls Hlv Success Story V4
Jeda Hls Hlv Success Story V4Chun Xia
 
CV_GUOFAN_201701
CV_GUOFAN_201701CV_GUOFAN_201701
CV_GUOFAN_201701Fan Guo
 
An Approach to Overcome Modeling Inaccuracies for Performance Simulation Sig...
An Approach to Overcome Modeling  Inaccuracies for Performance Simulation Sig...An Approach to Overcome Modeling  Inaccuracies for Performance Simulation Sig...
An Approach to Overcome Modeling Inaccuracies for Performance Simulation Sig...Pankaj Singh
 
Overcoming challenges of_verifying complex mixed signal designs
Overcoming challenges of_verifying complex mixed signal designsOvercoming challenges of_verifying complex mixed signal designs
Overcoming challenges of_verifying complex mixed signal designsPankaj Singh
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & AnalogVenu Naik K
 
Resume_Aney N Khatavkar
Resume_Aney N KhatavkarResume_Aney N Khatavkar
Resume_Aney N KhatavkarAney Khatavkar
 
Integrated modeling and simulation framework for wireless sensor networks
Integrated modeling and simulation framework for wireless sensor networksIntegrated modeling and simulation framework for wireless sensor networks
Integrated modeling and simulation framework for wireless sensor networksDaniele Gianni
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Ankita_Harmalkar_resume_electrical_fulltime1
Ankita_Harmalkar_resume_electrical_fulltime1Ankita_Harmalkar_resume_electrical_fulltime1
Ankita_Harmalkar_resume_electrical_fulltime1Ankita Harmalkar
 
CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016Ranan Fraer
 
Bristol 2009 q1_blackmore_tim
Bristol 2009 q1_blackmore_timBristol 2009 q1_blackmore_tim
Bristol 2009 q1_blackmore_timObsidian Software
 
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...chiportal
 
Unified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerUnified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerPankaj Singh
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Sameh El-Ashry
 
Case Study of End to End Formal Verification Methodology
Case Study of End to End Formal Verification MethodologyCase Study of End to End Formal Verification Methodology
Case Study of End to End Formal Verification MethodologyJacob Ryan Maas
 

Mais procurados (20)

Jeda Hls Hlv Success Story V4
Jeda Hls Hlv Success Story V4Jeda Hls Hlv Success Story V4
Jeda Hls Hlv Success Story V4
 
CV_GUOFAN_201701
CV_GUOFAN_201701CV_GUOFAN_201701
CV_GUOFAN_201701
 
ASIC Design Flow
ASIC Design FlowASIC Design Flow
ASIC Design Flow
 
An Approach to Overcome Modeling Inaccuracies for Performance Simulation Sig...
An Approach to Overcome Modeling  Inaccuracies for Performance Simulation Sig...An Approach to Overcome Modeling  Inaccuracies for Performance Simulation Sig...
An Approach to Overcome Modeling Inaccuracies for Performance Simulation Sig...
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
Manoj_Resume
Manoj_ResumeManoj_Resume
Manoj_Resume
 
Overcoming challenges of_verifying complex mixed signal designs
Overcoming challenges of_verifying complex mixed signal designsOvercoming challenges of_verifying complex mixed signal designs
Overcoming challenges of_verifying complex mixed signal designs
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
 
Resume_Aney N Khatavkar
Resume_Aney N KhatavkarResume_Aney N Khatavkar
Resume_Aney N Khatavkar
 
Integrated modeling and simulation framework for wireless sensor networks
Integrated modeling and simulation framework for wireless sensor networksIntegrated modeling and simulation framework for wireless sensor networks
Integrated modeling and simulation framework for wireless sensor networks
 
EC302-Introduction
EC302-IntroductionEC302-Introduction
EC302-Introduction
 
resume
resumeresume
resume
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Ankita_Harmalkar_resume_electrical_fulltime1
Ankita_Harmalkar_resume_electrical_fulltime1Ankita_Harmalkar_resume_electrical_fulltime1
Ankita_Harmalkar_resume_electrical_fulltime1
 
CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016
 
Bristol 2009 q1_blackmore_tim
Bristol 2009 q1_blackmore_timBristol 2009 q1_blackmore_tim
Bristol 2009 q1_blackmore_tim
 
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...
TRACK C: Methodology for Mixed Mode Design & Verification of Complex SoCs/ Ja...
 
Unified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerUnified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc power
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
Case Study of End to End Formal Verification Methodology
Case Study of End to End Formal Verification MethodologyCase Study of End to End Formal Verification Methodology
Case Study of End to End Formal Verification Methodology
 

Destaque

10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...
10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...
10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...Mullaiselvan Mohan
 
S3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group
 
Vijay Bhandari Principal Architect
Vijay Bhandari Principal ArchitectVijay Bhandari Principal Architect
Vijay Bhandari Principal Architectvijay bhandari
 
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...Property Portal Watch
 
Switch mode power supply
Switch mode power supplySwitch mode power supply
Switch mode power supplytwilight28
 
Electronic pill
Electronic pillElectronic pill
Electronic pillb4ujos
 
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)Troubleshooting Switched Mode Power Supplies (Presented at EELive!)
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)Rohde & Schwarz North America
 
Switch mode power supply
Switch mode power supplySwitch mode power supply
Switch mode power supplyAnish Das
 

Destaque (10)

10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...
10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...
10 years in Network Protocol testing L2 L3 L4-L7 Tcl Python Manual and Automa...
 
S3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCsS3 Group: Custom Mixed SIgnal SoCs
S3 Group: Custom Mixed SIgnal SoCs
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Vijay Bhandari Principal Architect
Vijay Bhandari Principal ArchitectVijay Bhandari Principal Architect
Vijay Bhandari Principal Architect
 
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...
Floorplanner Sponsor Presentation at the Property Portal Watch Conference - A...
 
Switch mode power supply
Switch mode power supplySwitch mode power supply
Switch mode power supply
 
Electronic pill
Electronic pillElectronic pill
Electronic pill
 
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)Troubleshooting Switched Mode Power Supplies (Presented at EELive!)
Troubleshooting Switched Mode Power Supplies (Presented at EELive!)
 
Switch mode power supply
Switch mode power supplySwitch mode power supply
Switch mode power supply
 
ARM and SoC Traning Part I -- Overview
ARM and SoC Traning Part I -- OverviewARM and SoC Traning Part I -- Overview
ARM and SoC Traning Part I -- Overview
 

Semelhante a Shreeve dv club_ams

Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsRégis SANTONJA
 
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0Robert O. Peruzzi, PhD, PE, DFE
 
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)A Systematic Approach to Creating Behavioral Models (CDNLive Slides)
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)Robert O. Peruzzi, PhD, PE, DFE
 
Reproducible Emulation of Analog Behavioral Models
Reproducible Emulation of Analog Behavioral ModelsReproducible Emulation of Analog Behavioral Models
Reproducible Emulation of Analog Behavioral Modelsfnothaft
 
modelling-and-simulation-made-easy-with-simulink.pdf
modelling-and-simulation-made-easy-with-simulink.pdfmodelling-and-simulation-made-easy-with-simulink.pdf
modelling-and-simulation-made-easy-with-simulink.pdfGBBarrios
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...SamHoney6
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challengesRégis SANTONJA
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal ValidationDVClub
 
Resume_Kousik_Dan
Resume_Kousik_DanResume_Kousik_Dan
Resume_Kousik_DanKousik Dan
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery Labs
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery LabsIncquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery Labs
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery LabsIncQuery Labs
 
Michael Ledford Fall 2014 Resume
Michael Ledford Fall 2014 ResumeMichael Ledford Fall 2014 Resume
Michael Ledford Fall 2014 ResumeMichael Ledford
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11gArpan Pal
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectDeepak Shankar
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designsijcsit
 

Semelhante a Shreeve dv club_ams (20)

Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0A Systematic Approach to Creating Behavioral Models (white paper) v1.0
A Systematic Approach to Creating Behavioral Models (white paper) v1.0
 
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)A Systematic Approach to Creating Behavioral Models (CDNLive Slides)
A Systematic Approach to Creating Behavioral Models (CDNLive Slides)
 
Reproducible Emulation of Analog Behavioral Models
Reproducible Emulation of Analog Behavioral ModelsReproducible Emulation of Analog Behavioral Models
Reproducible Emulation of Analog Behavioral Models
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
modelling-and-simulation-made-easy-with-simulink.pdf
modelling-and-simulation-made-easy-with-simulink.pdfmodelling-and-simulation-made-easy-with-simulink.pdf
modelling-and-simulation-made-easy-with-simulink.pdf
 
Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Resume_Kousik_Dan
Resume_Kousik_DanResume_Kousik_Dan
Resume_Kousik_Dan
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery Labs
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery LabsIncquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery Labs
Incquery Suite Models 2020 Conference by István Ráth, CEO of IncQuery Labs
 
Michael Ledford Fall 2014 Resume
Michael Ledford Fall 2014 ResumeMichael Ledford Fall 2014 Resume
Michael Ledford Fall 2014 Resume
 
Bitm2003 802.11g
Bitm2003 802.11gBitm2003 802.11g
Bitm2003 802.11g
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim Architect
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Abraham q3 2008
Abraham q3 2008Abraham q3 2008
Abraham q3 2008
 

Mais de Obsidian Software (20)

Zhang rtp q307
Zhang rtp q307Zhang rtp q307
Zhang rtp q307
 
Zehr dv club_12052006
Zehr dv club_12052006Zehr dv club_12052006
Zehr dv club_12052006
 
Yang greenstein part_2
Yang greenstein part_2Yang greenstein part_2
Yang greenstein part_2
 
Yang greenstein part_1
Yang greenstein part_1Yang greenstein part_1
Yang greenstein part_1
 
Williamson arm validation metrics
Williamson arm validation metricsWilliamson arm validation metrics
Williamson arm validation metrics
 
Whipp q3 2008_sv
Whipp q3 2008_svWhipp q3 2008_sv
Whipp q3 2008_sv
 
Vishakantaiah validating
Vishakantaiah validatingVishakantaiah validating
Vishakantaiah validating
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environment
 
Tobin verification isglobal
Tobin verification isglobalTobin verification isglobal
Tobin verification isglobal
 
Tierney bq207
Tierney bq207Tierney bq207
Tierney bq207
 
The validation attitude
The validation attitudeThe validation attitude
The validation attitude
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Strickland dvclub
Strickland dvclubStrickland dvclub
Strickland dvclub
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verification
 
Shultz dallas q108
Shultz dallas q108Shultz dallas q108
Shultz dallas q108
 
Sharam salamian
Sharam salamianSharam salamian
Sharam salamian
 
Schulz sv q2_2009
Schulz sv q2_2009Schulz sv q2_2009
Schulz sv q2_2009
 
Schulz dallas q1_2008
Schulz dallas q1_2008Schulz dallas q1_2008
Schulz dallas q1_2008
 
Salamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austinSalamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austin
 
Sakar jain
Sakar jainSakar jain
Sakar jain
 

Shreeve dv club_ams

  • 1. Verilog-AMS for Mixed-Signal Integrated Circuits Powering Your Ideas.TM Zilker Labs September 30, 2006
  • 2. Introduction Integrated Circuits are increasing in Size and complexity. More complex interface between digital and analog makes verification difficult. 2
  • 3. Previous Simulation Solutions Mixed signal simulation with two simulators – Complex interface elements – Convergence issues – Slow simulation Verilog simulation – Analog must be modeled as discrete with limited checking – No analog results Spice simulation – Need synthesized netlists – Very slow simulation 3
  • 4. Verilog-AMS Verilog-AMS is a mixed signal language and simulation tool that can be used for mixed signal modeling and simulations. Benefits of using Verilog-AMS – Simplification of modeling mixed signal circuits – Possible to greatly decrease simulation time – Mixed signal verification – Architecture design and validation 4
  • 5. Modeling Improvements Verilog-AMS uses most constructs from Verilog and Verilog-A languages – Analog and digital content can be contained in one model Signals can be declared as “logic” or “electrical” – This allows for a model to have both digital and analog I/O – If done correctly, no connect modules or interface elements will be needed 5
  • 6. Modeling Necessities Polarity of I/O and dependency on control signals Dependency on analog signals (power, biases, etc). Timing Bandwidth, Slew rate, and Voltage limits of analog signals 6
  • 7. Special Model Considerations Some models may be created with a fast/ accurate option Hierarchy of circuits should match physical placement – All pin names and directions the same as the schematics – Same circuit name to be easily imported Matching of signal types!! – Important for simulation time 7
  • 9. Successes CVS use of netlists and models No problems with analog/digital interfaces due to polarity mismatch or timing issues Less chance for miscommunication in the conveying the operation of the circuits Fast simulation at the top level – More circuit functionality verified before tape-out – Standard testbenches with pass/ fail outputs for regression testing System level simulation Functional samples at 1st Silicon 9
  • 10. Areas of Improvement Incorporate models into schematic environment – Allows for common testbenches – Better verification of model accuracy Architecture level modeling using Verilog-AMS 10
  • 11. Conclusion Verilog-AMS can greatly improve design cycles by – Increasing verification of digital to analog interface at the top level of the design – Significantly reducing the top level simulation time. – Determining appropriate analog specifications for analog circuits. – Creating an appropriate environment for chip architecture design. 11