SlideShare uma empresa Scribd logo
1 de 5
Baixar para ler offline
POWER CAPTURE SAFE TEST PATTERN DETERMINATION
FOR AT-SPEED SCAN BASED TESTING
1
P.Praveen Kumar, 2
B.Naresh Babu, 3
N.Pushpalatha
M.Tech (DECS) Student, Assistant professor, Assistant professor
Department of ECE, AITS
Annamacharya Institute of Technology and Sciences, Tirupati, India-517520
1
pnani427@gmail.com
2
naresh.birudala@gamil.com
3
pushpalatha_nainaru@rediff.com
Abstract — Scan based test proves a better choice of
test methodology for testing digital circuits. During an
at-speed scan-based test, excessive capture power may
cause significant current demand, resulting in the IR-
drop problem and unnecessary yield loss. Many
methods address this problem by reducing the
switching activities of power-risky patterns. These
methods may not be efficient when the number of
power-risky patterns is large or when some of the
patterns require extremely high power. The proposed
method in this project discards all power-risky
patterns and starts with power-safe patterns only,
which includes two processes, namely, test pattern
refinement and low-power test pattern regeneration.
The test pattern refinement process is used to refine
the power-safe patterns to detect faults originally
detected only by power-risky patterns. If some faults
are still undetected after this process, the low power
test pattern regeneration process is applied to generate
new power-safe patterns to detect these faults. The
patterns obtained using the proposed procedure are
guaranteed to be power-safe for the given power
constraints. Among these, the first method refines only
the power-safe patterns to address the capture power
problem. The designs are verified using Verilog HDL
in Xilinx 10.1i Synthesizer for the Spartan 3E FPGA
Kit. The required test data volume can be reduced by
nearly 12.76% on average with little or no fault
coverage loss.
Index Terms—At-speed testing, low capture power
testing,
scan-based testing, transition fault.
I INTRODUCTION
SCAN-BASED testing is the most widely used
design for test (DFT) methodology due to its simple
structure, high fault coverage, and strong diagnostic
support. However, the test power of scan tests may
be significantly higher than normal functional
power because circuits may en ter non-functional
states during testing. The test power required to load
or unload test data with shift clock control is called
shift power, and the power required to capture test
responses with an at-speed clock rate is called
capture power. Excessive shift power can lead to
high temperatures that can damage the circuit under
test (CUT) and may reduce the circuit’s reliability.
Excessive capture power induced by test patterns
may lead to large current demand and induce a
supply voltage drop, known as the IR-drop problem.
This would cause a normal circuit to slow down and
eventually fail the test, thereby inducing
unnecessary yield loss.
To estimate shift power and capture power,
several metrics have been proposed.
1. The circuit level simulation metric
a. The most accurate one.
b. Time consuming and memory
intensive.
2. Most previous works use simpler metrics. The
toggle count metric considers the state changes
of nodes (FFs or gates) and the weighted
switching activity (WSA) metric considers both
node state changes and fanouts of nodes. Metrics
that consider paths are also proposed.
3. The critical capture transition (CCT) metric
assesses launch switching activities around
critical paths, and the critical area targeted
(CAT) metric estimates launch switching
activities caused by test patterns around the
longest sensitized path. In this paper, we will
employ the WSA metric as it is highly correlated
to the real capture power and has been used in
most related work to determine power-safe test
patterns.
4. The capture-power-safe patterns: To address the
capture-safe-power problem, numerous methods
have been proposed.
a) The hardware-based methods attempt to reduce
test power by modifying the circuit/clock in test
INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in
23
ISBN:378-26-138420-0229
structures or by adding some additional
hardware to the CUT.
I. In scan chain segmentation methods are
proposed to reduce both shift and capture
power.
II. In a partial launch on- capture (LOC) scheme
is proposed to reduce the capture power,
which allows only partial scan cells to be
activated during the capture cycle.
Advantages:
1. Some clock gating schemes have also been
proposed to limit the test power
consumption.
2. They effectively reduce test power.
Disadvantages:
1. They may increase circuit area
2. Degrade circuit performance
3. They may be incompatible with existing
design flows
b) software-based methods attempt to generate
power safe test patterns that will not consume
excess power during testing by modifying the
traditional automatic test pattern generation
(ATPG) procedure or by modifying
predetermined test sets. These methods are
generally based on the X-filling technique to
assign fixed logic values to don’t care bits (X-
bits) in test patterns to minimize test power
dissipation.
c) Instead of modifying the ATPG procedure, some
post-ATPG methods modify a given test set by
using X-filling to reduce as much test power as
possible [7], [10], [16] or to satisfy the power
constraints [6], [21], [26]. Butler et al. [16]
propose a method, named adjacency fill, which
assigns deterministic values to the X-bits in line
with the adjacent bit values to reduce shift
power.
d) Another method to reduce the capture power is
to use the circuit’s steady states to fill X-bits [7].
This method, called ACF in [7], first fills X-bit
randomly. Then, it applies a number of
functional clock cycles starting from the scan-in
state of a test.
e) Vector to obtain the final states and uses these
states to fill the X-bits to get more realistic
patterns. Although both the Preferred Fill and
the ACF methods can quickly assign the X-bits
in PPI, they cannot ensure capture power
safety because they do not consider the power
constraint of the circuit. Another problem with
the above two methods is that they try to
assign values to all X-bits in all test patterns to
reduce test power as much as possible.
However, not all of the test patterns are power-
risky [19].
I. Devanathan et al.and Wu et al. modified the
PODEM-based ATPG procedure by adding
some power constraints to the back trace and
dynamic compaction processes to directly
generate power-safe test sets.
II. In a low-capture power (LCP) X-filling method
is proposed and incorporated into the dynamic
compaction process of the test generation flow
to reduce the capture power.
III. Although these methods can achieve a large
reduction in capture power, they often increase
the test data volume in comparison with that
produced by conventional at-speed scan test
methods due to the fact that during the dynamic
compaction process, many X-bits that can be
assigned to detect more faults are reserved to
reduce capture power.
II METHODS TO REDUCE CAPTURE
POWER
1. Instead of modifying the ATPG procedure,
some post-ATPG methods modify a given
test set by using X-filling to reduce as
much test power as possible or to satisfy
the power constraints.
a) Adjacency fill assigns deterministic values
to the X-bits in line with the adjacent bit
values to reduce shift power.
eg,-given the test pattern (1, X, X, 1, 0), the
X-bits in this pattern are filled as (1, 1, 1,
1, 0).
b) The circuit’s steady states to fill X-bits
(ACF), this method first fills X-bit
randomly. Then, it applies a number of
functional clock cycles starting from the
scan-in state of a test vector to obtain the
final states and uses these states to fill the
X-bits to get more realistic patterns.
INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in
24
ISBN:378-26-138420-0230
Disadvantages:
1. Although both the Preferred Fill and the
ACF methods can quickly assign the X-bits
in PPI, they cannot ensure capture power
safety because they do not consider the
power constraint of the circuit.
2. They try to assign values to all X-bits in all test
patterns to reduce test power as much as
possible.
Fig.1: At-speed scan testing with LOC scheme.
III PROPOSED METHOD
This method modifies only power-safe
patterns to address the issue of the capture power.
The rationale behind this strategy is the patterns that
can detect more faults normally have larger
switching activity and lower X-bit ratios, and the
number of detected faults decreases drastically with
increasing X-bit ratio. Hence, filling X-bits in
power-risky patterns may not be efficient as they
tend to have lower X-bit ratios. In contrast, power-
safe patterns usually have higher X-bit ratios and
many unused X-bits. Therefore, using the X-bits in
power safe patterns to detect faults within the power
constraints may be more efficient than using those
in power-risky patterns.
Hence, this paper proposes a novel test pattern
determination procedure to determine a power safe
test set with the LOC clocking scheme.
The proposed procedure contains two processes
1. Test pattern refinement
2. Low-power test pattern regeneration
Given a pregenerated compacted partially-specified
test set without any power constraints, the power-
risky patterns are all dropped. This will make faults
that were detected only by the power risky patterns
become undetected. The test pattern refinement
process then tries to properly fill the X-bits in the
power safe patterns such that the power-risky faults
can be detected with the power constraint still being
satisfied. If some power risky faults remain
undetected after this process, the low-power test
pattern regeneration process is employed to generate
more power-safe test patterns for these faults.
CAPTURE-POWER-SAFE TEST PATTERN
DETERMINATION
This section first uses a simple example to illustrate
the main idea of the proposed procedure. Then, it
describes the overall flow and its details.
1) Main Idea
The main idea of this paper is to utilize the X-
bits in power-safe patterns to detect as many
faults that are previously detected only by
power-risky patterns as possible. If there are
still undetected faults, then a low-power pattern
generation process is used to generate patterns
to detect the remaining faults.
INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in
25
ISBN:378-26-138420-0231
2) Overall Flow of Proposed Procedure
Based on the main idea, a technique is
proposed to determine a test set such that the
power constraint is satisfied and the test data
inflation is minimized
3) Algorithm for Test Pattern Refinement Process
1. The goal of the test pattern refinement
process is to utilize the X-bits in power-
safe patterns to to utilize the X-bits in
power-safe patterns to This process ends
when there are no remaining faults
In Fr or all the power-safe patterns in Ts have
been refined.
4) Algorithm for Low Power Test Generation
Process
The low-power test generation process
attempts to generate a new power-safe test
set to detect all the undetected faults and
minimize the test data inflation at the same
time. In order to achieve this goal, this
process uses a dynamic data compression
flow [20]
IV CONCLUSION AND FUTURE SCOPE
This paper proposed a novel capture-power-safe test
pattern determination procedure to address the
capture power problem. Unlike previous methods,
the test pattern refinement processing the proposed
procedure refines power-safe patterns to detect the
power-risky faults and discards the power-risky
patterns to ensure the capture power safety. The
capture power of newly generated patterns is also
guaranteed to be under the power constraints. The
experimental results show that more than 75% of
power-risky faults can be detected by refining the
power safe patterns, and that the required test data
volumes can be reduced by 12.76% on average
under the appropriate power constraints without
fault coverage loss.
References:
[1] N. Ahmed, M. Tehranipoor, and V. Jayaram,
“Transition delay fault test
pattern generation considering supply voltage noise
in a SOC design,”
in Proc. Design Autom. Conf., 2007, pp. 533–538
[2] Y. Bonhomme, P. Girard, L. Guiller, C.
Landrault, and S. Pravossoudovitch,
“A gated clock scheme for low power scan testing
of logic
ICs or embedded cores, ” in Proc. Asian Test Symp.,
2001, pp. 253–258.
[3] K. Enokimoto, X. Wen, Y. Yamato, K. Miyase,
H. Sone, S. Kajihara,
M. Aso, and H. Furukawa, “CAT: A critical-area-
targeted test set
modification scheme for reducing launch switching
activity in at-speed
scan testing,” in Proc. Asian Test Symp., 2009, pp.
99–104
[4] T.-C. Huang and K.-J. Lee, “A token scan
architecture for low power
testing,” in Proc. Int. Test Conf., 2001, pp. 660–669.
INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in
26
ISBN:378-26-138420-0232
[5] K.-J. Lee, S.-J. Hsu, and C.-M. Ho, “Test power
reduction with multiple
capture orders,” in Proc. Asian Test Symp., 2004,
pp. 26–31.
[6] J. Li, Q. Xu, Y. Hu, and X. Li, “X-Filling for
simultaneous shift- and
capture-power reduction in at-speed scan-based
testing,” IEEE Trans.
Very Large Scale Integr. Syst., vol. 18, no. 7, pp.
1081–1092, Jul.
2010.
[7] E. K. Moghaddam, J. Rajski, S. M. Reddy, and
M. Kassab, “At-speed
scan test with low switching activity,” in Proc. VLSI
Test Symp., 2010,
pp. 177–182.
[8] K. Miyase and S. Kajihara, “XID: Don’t care
identification of test
patterns for combinational circuits,” IEEE Trans.
Comput. Aided Design
Integr. Circuits Syst., vol. 23, no. 2, pp. 321–326,
Feb. 2004.
[9] I. Pomeranz and S. M. Reddy, “Switching
activity as a test compaction
heuristic for transition faults,” IEEE Trans. Very
Large Scale Integr. Syst.,
vol. 18, no. 9, pp. 1357–1361, Sep. 2010.
[10] S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy,
I. Pomeranz, and
J. Rajski, “Preferred fill: A scalable method to
reduce capture power
for scan based designs,” in Proc. Int. Test Conf.,
2006, pp. 1–10.
INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in
27
ISBN:378-26-138420-0233

Mais conteúdo relacionado

Mais procurados

Impact analysis of actuator torque degradation on the IRB 120 robot performan...
Impact analysis of actuator torque degradation on the IRB 120 robot performan...Impact analysis of actuator torque degradation on the IRB 120 robot performan...
Impact analysis of actuator torque degradation on the IRB 120 robot performan...
IJECEIAES
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
ijceronline
 
A novel method for determining fixed running time in operating electric train...
A novel method for determining fixed running time in operating electric train...A novel method for determining fixed running time in operating electric train...
A novel method for determining fixed running time in operating electric train...
IJECEIAES
 
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Cemal Ardil
 

Mais procurados (20)

AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APP...
AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APP...AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APP...
AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APP...
 
Heuristic remedial actions in the reliability assessment of high voltage dire...
Heuristic remedial actions in the reliability assessment of high voltage dire...Heuristic remedial actions in the reliability assessment of high voltage dire...
Heuristic remedial actions in the reliability assessment of high voltage dire...
 
Optimal PMU Placement in Power System Considering the Measurement Redundancy
Optimal PMU Placement in Power System Considering the Measurement RedundancyOptimal PMU Placement in Power System Considering the Measurement Redundancy
Optimal PMU Placement in Power System Considering the Measurement Redundancy
 
Disturbance observer-based controller for inverted pendulum with uncertaintie...
Disturbance observer-based controller for inverted pendulum with uncertaintie...Disturbance observer-based controller for inverted pendulum with uncertaintie...
Disturbance observer-based controller for inverted pendulum with uncertaintie...
 
Implementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSRImplementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSR
 
Impact analysis of actuator torque degradation on the IRB 120 robot performan...
Impact analysis of actuator torque degradation on the IRB 120 robot performan...Impact analysis of actuator torque degradation on the IRB 120 robot performan...
Impact analysis of actuator torque degradation on the IRB 120 robot performan...
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 
Paper18
Paper18Paper18
Paper18
 
The gravitational search algorithm for incorporating TCSC devices into the sy...
The gravitational search algorithm for incorporating TCSC devices into the sy...The gravitational search algorithm for incorporating TCSC devices into the sy...
The gravitational search algorithm for incorporating TCSC devices into the sy...
 
Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...
 
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
Elements Space and Amplitude Perturbation Using Genetic Algorithm for Antenna...
 
Estimation of Weekly Reference Evapotranspiration using Linear Regression and...
Estimation of Weekly Reference Evapotranspiration using Linear Regression and...Estimation of Weekly Reference Evapotranspiration using Linear Regression and...
Estimation of Weekly Reference Evapotranspiration using Linear Regression and...
 
D010232026
D010232026D010232026
D010232026
 
A novel method for determining fixed running time in operating electric train...
A novel method for determining fixed running time in operating electric train...A novel method for determining fixed running time in operating electric train...
A novel method for determining fixed running time in operating electric train...
 
Coordinated planning in improving power quality considering the use of nonlin...
Coordinated planning in improving power quality considering the use of nonlin...Coordinated planning in improving power quality considering the use of nonlin...
Coordinated planning in improving power quality considering the use of nonlin...
 
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
 
Robust Evolutionary Approach to Mitigate Low Frequency Oscillation in a Multi...
Robust Evolutionary Approach to Mitigate Low Frequency Oscillation in a Multi...Robust Evolutionary Approach to Mitigate Low Frequency Oscillation in a Multi...
Robust Evolutionary Approach to Mitigate Low Frequency Oscillation in a Multi...
 
Frequency regulation service of multiple-areas vehicle to grid application in...
Frequency regulation service of multiple-areas vehicle to grid application in...Frequency regulation service of multiple-areas vehicle to grid application in...
Frequency regulation service of multiple-areas vehicle to grid application in...
 
Optimal placement of_phasor_measurement_units_using_gravitat
Optimal placement of_phasor_measurement_units_using_gravitatOptimal placement of_phasor_measurement_units_using_gravitat
Optimal placement of_phasor_measurement_units_using_gravitat
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 

Destaque

Iaetsd chaos cdsk communication system
Iaetsd chaos cdsk communication systemIaetsd chaos cdsk communication system
Iaetsd chaos cdsk communication system
Iaetsd Iaetsd
 
Iaetsd effective user navigability through website structure
Iaetsd effective user navigability through website structureIaetsd effective user navigability through website structure
Iaetsd effective user navigability through website structure
Iaetsd Iaetsd
 

Destaque (14)

Mohamed-CV 2017
Mohamed-CV 2017Mohamed-CV 2017
Mohamed-CV 2017
 
Iaetsd chaos cdsk communication system
Iaetsd chaos cdsk communication systemIaetsd chaos cdsk communication system
Iaetsd chaos cdsk communication system
 
Iaetsd effective user navigability through website structure
Iaetsd effective user navigability through website structureIaetsd effective user navigability through website structure
Iaetsd effective user navigability through website structure
 
ใบความรู้+วัตถุเปลี่ยนแปลงเคลื่อนที่ได้เพราะเหตุใด+ป.3+248+dltvscip3+55t2sci...
 ใบความรู้+วัตถุเปลี่ยนแปลงเคลื่อนที่ได้เพราะเหตุใด+ป.3+248+dltvscip3+55t2sci... ใบความรู้+วัตถุเปลี่ยนแปลงเคลื่อนที่ได้เพราะเหตุใด+ป.3+248+dltvscip3+55t2sci...
ใบความรู้+วัตถุเปลี่ยนแปลงเคลื่อนที่ได้เพราะเหตุใด+ป.3+248+dltvscip3+55t2sci...
 
Scala and Spark are Ideal for Big Data
Scala and Spark are Ideal for Big DataScala and Spark are Ideal for Big Data
Scala and Spark are Ideal for Big Data
 
IT Art: Where Tech and Art Nerd Out
IT Art: Where Tech and Art Nerd OutIT Art: Where Tech and Art Nerd Out
IT Art: Where Tech and Art Nerd Out
 
Shootball
ShootballShootball
Shootball
 
ใบความรู้ ทดสอบหลังเรียนเรือง ไฟฟ้า ป.2+229+dltvscip2+55t2sci p02 f15-1page
ใบความรู้ ทดสอบหลังเรียนเรือง ไฟฟ้า  ป.2+229+dltvscip2+55t2sci p02 f15-1pageใบความรู้ ทดสอบหลังเรียนเรือง ไฟฟ้า  ป.2+229+dltvscip2+55t2sci p02 f15-1page
ใบความรู้ ทดสอบหลังเรียนเรือง ไฟฟ้า ป.2+229+dltvscip2+55t2sci p02 f15-1page
 
ใบความรู้+ชั่งน้ำวัตถุได้อย่างไร+ป.3+248+dltvscip3+55t2sci p03 f28-1page
 ใบความรู้+ชั่งน้ำวัตถุได้อย่างไร+ป.3+248+dltvscip3+55t2sci p03 f28-1page ใบความรู้+ชั่งน้ำวัตถุได้อย่างไร+ป.3+248+dltvscip3+55t2sci p03 f28-1page
ใบความรู้+ชั่งน้ำวัตถุได้อย่างไร+ป.3+248+dltvscip3+55t2sci p03 f28-1page
 
ใบความรู้+แรงกับวัตถุ+ป.3+248+dltvscip3+55t2sci p03 f23-4page
 ใบความรู้+แรงกับวัตถุ+ป.3+248+dltvscip3+55t2sci p03 f23-4page ใบความรู้+แรงกับวัตถุ+ป.3+248+dltvscip3+55t2sci p03 f23-4page
ใบความรู้+แรงกับวัตถุ+ป.3+248+dltvscip3+55t2sci p03 f23-4page
 
Iaetsd rtos based electronics industrial
Iaetsd rtos based electronics industrialIaetsd rtos based electronics industrial
Iaetsd rtos based electronics industrial
 
2016 spark survey
2016 spark survey2016 spark survey
2016 spark survey
 
Diptic castanyada
Diptic castanyadaDiptic castanyada
Diptic castanyada
 
Implementation of New Modified MD5-512 bit Algorithm for Cryptography
Implementation of New Modified MD5-512 bit Algorithm for CryptographyImplementation of New Modified MD5-512 bit Algorithm for Cryptography
Implementation of New Modified MD5-512 bit Algorithm for Cryptography
 

Semelhante a Iaetsd power capture safe test pattern determination

Channel estimation and transmit power control in wireless body area networks
Channel estimation and transmit power control in wireless body area networksChannel estimation and transmit power control in wireless body area networks
Channel estimation and transmit power control in wireless body area networks
ieeeprojectsbangalore
 
Enhanced Protection Modeling Approach for Power System Transient Stability St...
Enhanced Protection Modeling Approach for Power System Transient Stability St...Enhanced Protection Modeling Approach for Power System Transient Stability St...
Enhanced Protection Modeling Approach for Power System Transient Stability St...
Power System Operation
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
IJERA Editor
 

Semelhante a Iaetsd power capture safe test pattern determination (20)

Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
Reducing Power Consumption during Test Application by Test Vector Ordering
Reducing Power Consumption during Test Application by Test Vector OrderingReducing Power Consumption during Test Application by Test Vector Ordering
Reducing Power Consumption during Test Application by Test Vector Ordering
 
Channel estimation and transmit power control in wireless body area networks
Channel estimation and transmit power control in wireless body area networksChannel estimation and transmit power control in wireless body area networks
Channel estimation and transmit power control in wireless body area networks
 
NSegal_IEEE_paper
NSegal_IEEE_paperNSegal_IEEE_paper
NSegal_IEEE_paper
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
On-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and PowerOn-chip Generation of Functional Tests with Reduced Delay and Power
On-chip Generation of Functional Tests with Reduced Delay and Power
 
Soft Computing Technique Based Enhancement of Transmission System Lodability ...
Soft Computing Technique Based Enhancement of Transmission System Lodability ...Soft Computing Technique Based Enhancement of Transmission System Lodability ...
Soft Computing Technique Based Enhancement of Transmission System Lodability ...
 
Enhanced Protection Modeling Approach for Power System Transient Stability St...
Enhanced Protection Modeling Approach for Power System Transient Stability St...Enhanced Protection Modeling Approach for Power System Transient Stability St...
Enhanced Protection Modeling Approach for Power System Transient Stability St...
 
PROPOSED FAULT DETECTION ON OVERHEAD TRANSMISSION LINE USING PARTICLE SWARM ...
PROPOSED FAULT DETECTION ON OVERHEAD TRANSMISSION LINE  USING PARTICLE SWARM ...PROPOSED FAULT DETECTION ON OVERHEAD TRANSMISSION LINE  USING PARTICLE SWARM ...
PROPOSED FAULT DETECTION ON OVERHEAD TRANSMISSION LINE USING PARTICLE SWARM ...
 
proposedfaultdetectiononoverheadtransmissionlineusingparticleswarmoptimizatio...
proposedfaultdetectiononoverheadtransmissionlineusingparticleswarmoptimizatio...proposedfaultdetectiononoverheadtransmissionlineusingparticleswarmoptimizatio...
proposedfaultdetectiononoverheadtransmissionlineusingparticleswarmoptimizatio...
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
High speed and energy-efficient carry skip adder operating under a wide range...
High speed and energy-efficient carry skip adder operating under a wide range...High speed and energy-efficient carry skip adder operating under a wide range...
High speed and energy-efficient carry skip adder operating under a wide range...
 
Cost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systemsCost effective test methodology using pmu for automated test equipment systems
Cost effective test methodology using pmu for automated test equipment systems
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
An algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor networkAn algorithm for fault node recovery of wireless sensor network
An algorithm for fault node recovery of wireless sensor network
 
Comparative Study on the Performance of A Coherency-based Simple Dynamic Equi...
Comparative Study on the Performance of A Coherency-based Simple Dynamic Equi...Comparative Study on the Performance of A Coherency-based Simple Dynamic Equi...
Comparative Study on the Performance of A Coherency-based Simple Dynamic Equi...
 
A Study of Load Flow Analysis Using Particle Swarm Optimization
A Study of Load Flow Analysis Using Particle Swarm OptimizationA Study of Load Flow Analysis Using Particle Swarm Optimization
A Study of Load Flow Analysis Using Particle Swarm Optimization
 
IRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple ChannelsIRJET- Reliable Transmission of Packets using Multiple Channels
IRJET- Reliable Transmission of Packets using Multiple Channels
 
Transient Stability Assessment and Enhancement in Power System
Transient Stability Assessment and Enhancement in Power  SystemTransient Stability Assessment and Enhancement in Power  System
Transient Stability Assessment and Enhancement in Power System
 
Optimal Location of Multi-types of FACTS Devices using Genetic Algorithm
Optimal Location of Multi-types of FACTS Devices using Genetic Algorithm Optimal Location of Multi-types of FACTS Devices using Genetic Algorithm
Optimal Location of Multi-types of FACTS Devices using Genetic Algorithm
 

Mais de Iaetsd Iaetsd

iaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmissioniaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmission
Iaetsd Iaetsd
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdl
Iaetsd Iaetsd
 
iaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarmiaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarm
Iaetsd Iaetsd
 
iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...
Iaetsd Iaetsd
 
iaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seatiaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seat
Iaetsd Iaetsd
 
iaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan applicationiaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan application
Iaetsd Iaetsd
 
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBSREVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
Iaetsd Iaetsd
 
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
Iaetsd Iaetsd
 
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
Iaetsd Iaetsd
 
iirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic birdiirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic bird
Iaetsd Iaetsd
 
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growthiirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
Iaetsd Iaetsd
 
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithmiirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
Iaetsd Iaetsd
 
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
Iaetsd Iaetsd
 
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
Iaetsd Iaetsd
 
iaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocoliaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocol
Iaetsd Iaetsd
 
iaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databasesiaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databases
Iaetsd Iaetsd
 
iaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineriesiaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineries
Iaetsd Iaetsd
 
iaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using paraboliciaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using parabolic
Iaetsd Iaetsd
 

Mais de Iaetsd Iaetsd (20)

iaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmissioniaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmission
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdl
 
iaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarmiaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarm
 
iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...
 
iaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seatiaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seat
 
iaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan applicationiaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan application
 
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBSREVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
 
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
 
Fabrication of dual power bike
Fabrication of dual power bikeFabrication of dual power bike
Fabrication of dual power bike
 
Blue brain technology
Blue brain technologyBlue brain technology
Blue brain technology
 
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
 
iirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic birdiirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic bird
 
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growthiirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
 
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithmiirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
 
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
 
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
 
iaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocoliaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocol
 
iaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databasesiaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databases
 
iaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineriesiaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineries
 
iaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using paraboliciaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using parabolic
 

Último

Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
Epec Engineered Technologies
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 

Último (20)

Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdf
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 

Iaetsd power capture safe test pattern determination

  • 1. POWER CAPTURE SAFE TEST PATTERN DETERMINATION FOR AT-SPEED SCAN BASED TESTING 1 P.Praveen Kumar, 2 B.Naresh Babu, 3 N.Pushpalatha M.Tech (DECS) Student, Assistant professor, Assistant professor Department of ECE, AITS Annamacharya Institute of Technology and Sciences, Tirupati, India-517520 1 pnani427@gmail.com 2 naresh.birudala@gamil.com 3 pushpalatha_nainaru@rediff.com Abstract — Scan based test proves a better choice of test methodology for testing digital circuits. During an at-speed scan-based test, excessive capture power may cause significant current demand, resulting in the IR- drop problem and unnecessary yield loss. Many methods address this problem by reducing the switching activities of power-risky patterns. These methods may not be efficient when the number of power-risky patterns is large or when some of the patterns require extremely high power. The proposed method in this project discards all power-risky patterns and starts with power-safe patterns only, which includes two processes, namely, test pattern refinement and low-power test pattern regeneration. The test pattern refinement process is used to refine the power-safe patterns to detect faults originally detected only by power-risky patterns. If some faults are still undetected after this process, the low power test pattern regeneration process is applied to generate new power-safe patterns to detect these faults. The patterns obtained using the proposed procedure are guaranteed to be power-safe for the given power constraints. Among these, the first method refines only the power-safe patterns to address the capture power problem. The designs are verified using Verilog HDL in Xilinx 10.1i Synthesizer for the Spartan 3E FPGA Kit. The required test data volume can be reduced by nearly 12.76% on average with little or no fault coverage loss. Index Terms—At-speed testing, low capture power testing, scan-based testing, transition fault. I INTRODUCTION SCAN-BASED testing is the most widely used design for test (DFT) methodology due to its simple structure, high fault coverage, and strong diagnostic support. However, the test power of scan tests may be significantly higher than normal functional power because circuits may en ter non-functional states during testing. The test power required to load or unload test data with shift clock control is called shift power, and the power required to capture test responses with an at-speed clock rate is called capture power. Excessive shift power can lead to high temperatures that can damage the circuit under test (CUT) and may reduce the circuit’s reliability. Excessive capture power induced by test patterns may lead to large current demand and induce a supply voltage drop, known as the IR-drop problem. This would cause a normal circuit to slow down and eventually fail the test, thereby inducing unnecessary yield loss. To estimate shift power and capture power, several metrics have been proposed. 1. The circuit level simulation metric a. The most accurate one. b. Time consuming and memory intensive. 2. Most previous works use simpler metrics. The toggle count metric considers the state changes of nodes (FFs or gates) and the weighted switching activity (WSA) metric considers both node state changes and fanouts of nodes. Metrics that consider paths are also proposed. 3. The critical capture transition (CCT) metric assesses launch switching activities around critical paths, and the critical area targeted (CAT) metric estimates launch switching activities caused by test patterns around the longest sensitized path. In this paper, we will employ the WSA metric as it is highly correlated to the real capture power and has been used in most related work to determine power-safe test patterns. 4. The capture-power-safe patterns: To address the capture-safe-power problem, numerous methods have been proposed. a) The hardware-based methods attempt to reduce test power by modifying the circuit/clock in test INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014 INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in 23 ISBN:378-26-138420-0229
  • 2. structures or by adding some additional hardware to the CUT. I. In scan chain segmentation methods are proposed to reduce both shift and capture power. II. In a partial launch on- capture (LOC) scheme is proposed to reduce the capture power, which allows only partial scan cells to be activated during the capture cycle. Advantages: 1. Some clock gating schemes have also been proposed to limit the test power consumption. 2. They effectively reduce test power. Disadvantages: 1. They may increase circuit area 2. Degrade circuit performance 3. They may be incompatible with existing design flows b) software-based methods attempt to generate power safe test patterns that will not consume excess power during testing by modifying the traditional automatic test pattern generation (ATPG) procedure or by modifying predetermined test sets. These methods are generally based on the X-filling technique to assign fixed logic values to don’t care bits (X- bits) in test patterns to minimize test power dissipation. c) Instead of modifying the ATPG procedure, some post-ATPG methods modify a given test set by using X-filling to reduce as much test power as possible [7], [10], [16] or to satisfy the power constraints [6], [21], [26]. Butler et al. [16] propose a method, named adjacency fill, which assigns deterministic values to the X-bits in line with the adjacent bit values to reduce shift power. d) Another method to reduce the capture power is to use the circuit’s steady states to fill X-bits [7]. This method, called ACF in [7], first fills X-bit randomly. Then, it applies a number of functional clock cycles starting from the scan-in state of a test. e) Vector to obtain the final states and uses these states to fill the X-bits to get more realistic patterns. Although both the Preferred Fill and the ACF methods can quickly assign the X-bits in PPI, they cannot ensure capture power safety because they do not consider the power constraint of the circuit. Another problem with the above two methods is that they try to assign values to all X-bits in all test patterns to reduce test power as much as possible. However, not all of the test patterns are power- risky [19]. I. Devanathan et al.and Wu et al. modified the PODEM-based ATPG procedure by adding some power constraints to the back trace and dynamic compaction processes to directly generate power-safe test sets. II. In a low-capture power (LCP) X-filling method is proposed and incorporated into the dynamic compaction process of the test generation flow to reduce the capture power. III. Although these methods can achieve a large reduction in capture power, they often increase the test data volume in comparison with that produced by conventional at-speed scan test methods due to the fact that during the dynamic compaction process, many X-bits that can be assigned to detect more faults are reserved to reduce capture power. II METHODS TO REDUCE CAPTURE POWER 1. Instead of modifying the ATPG procedure, some post-ATPG methods modify a given test set by using X-filling to reduce as much test power as possible or to satisfy the power constraints. a) Adjacency fill assigns deterministic values to the X-bits in line with the adjacent bit values to reduce shift power. eg,-given the test pattern (1, X, X, 1, 0), the X-bits in this pattern are filled as (1, 1, 1, 1, 0). b) The circuit’s steady states to fill X-bits (ACF), this method first fills X-bit randomly. Then, it applies a number of functional clock cycles starting from the scan-in state of a test vector to obtain the final states and uses these states to fill the X-bits to get more realistic patterns. INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014 INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in 24 ISBN:378-26-138420-0230
  • 3. Disadvantages: 1. Although both the Preferred Fill and the ACF methods can quickly assign the X-bits in PPI, they cannot ensure capture power safety because they do not consider the power constraint of the circuit. 2. They try to assign values to all X-bits in all test patterns to reduce test power as much as possible. Fig.1: At-speed scan testing with LOC scheme. III PROPOSED METHOD This method modifies only power-safe patterns to address the issue of the capture power. The rationale behind this strategy is the patterns that can detect more faults normally have larger switching activity and lower X-bit ratios, and the number of detected faults decreases drastically with increasing X-bit ratio. Hence, filling X-bits in power-risky patterns may not be efficient as they tend to have lower X-bit ratios. In contrast, power- safe patterns usually have higher X-bit ratios and many unused X-bits. Therefore, using the X-bits in power safe patterns to detect faults within the power constraints may be more efficient than using those in power-risky patterns. Hence, this paper proposes a novel test pattern determination procedure to determine a power safe test set with the LOC clocking scheme. The proposed procedure contains two processes 1. Test pattern refinement 2. Low-power test pattern regeneration Given a pregenerated compacted partially-specified test set without any power constraints, the power- risky patterns are all dropped. This will make faults that were detected only by the power risky patterns become undetected. The test pattern refinement process then tries to properly fill the X-bits in the power safe patterns such that the power-risky faults can be detected with the power constraint still being satisfied. If some power risky faults remain undetected after this process, the low-power test pattern regeneration process is employed to generate more power-safe test patterns for these faults. CAPTURE-POWER-SAFE TEST PATTERN DETERMINATION This section first uses a simple example to illustrate the main idea of the proposed procedure. Then, it describes the overall flow and its details. 1) Main Idea The main idea of this paper is to utilize the X- bits in power-safe patterns to detect as many faults that are previously detected only by power-risky patterns as possible. If there are still undetected faults, then a low-power pattern generation process is used to generate patterns to detect the remaining faults. INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014 INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in 25 ISBN:378-26-138420-0231
  • 4. 2) Overall Flow of Proposed Procedure Based on the main idea, a technique is proposed to determine a test set such that the power constraint is satisfied and the test data inflation is minimized 3) Algorithm for Test Pattern Refinement Process 1. The goal of the test pattern refinement process is to utilize the X-bits in power- safe patterns to to utilize the X-bits in power-safe patterns to This process ends when there are no remaining faults In Fr or all the power-safe patterns in Ts have been refined. 4) Algorithm for Low Power Test Generation Process The low-power test generation process attempts to generate a new power-safe test set to detect all the undetected faults and minimize the test data inflation at the same time. In order to achieve this goal, this process uses a dynamic data compression flow [20] IV CONCLUSION AND FUTURE SCOPE This paper proposed a novel capture-power-safe test pattern determination procedure to address the capture power problem. Unlike previous methods, the test pattern refinement processing the proposed procedure refines power-safe patterns to detect the power-risky faults and discards the power-risky patterns to ensure the capture power safety. The capture power of newly generated patterns is also guaranteed to be under the power constraints. The experimental results show that more than 75% of power-risky faults can be detected by refining the power safe patterns, and that the required test data volumes can be reduced by 12.76% on average under the appropriate power constraints without fault coverage loss. References: [1] N. Ahmed, M. Tehranipoor, and V. Jayaram, “Transition delay fault test pattern generation considering supply voltage noise in a SOC design,” in Proc. Design Autom. Conf., 2007, pp. 533–538 [2] Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, and S. Pravossoudovitch, “A gated clock scheme for low power scan testing of logic ICs or embedded cores, ” in Proc. Asian Test Symp., 2001, pp. 253–258. [3] K. Enokimoto, X. Wen, Y. Yamato, K. Miyase, H. Sone, S. Kajihara, M. Aso, and H. Furukawa, “CAT: A critical-area- targeted test set modification scheme for reducing launch switching activity in at-speed scan testing,” in Proc. Asian Test Symp., 2009, pp. 99–104 [4] T.-C. Huang and K.-J. Lee, “A token scan architecture for low power testing,” in Proc. Int. Test Conf., 2001, pp. 660–669. INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014 INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in 26 ISBN:378-26-138420-0232
  • 5. [5] K.-J. Lee, S.-J. Hsu, and C.-M. Ho, “Test power reduction with multiple capture orders,” in Proc. Asian Test Symp., 2004, pp. 26–31. [6] J. Li, Q. Xu, Y. Hu, and X. Li, “X-Filling for simultaneous shift- and capture-power reduction in at-speed scan-based testing,” IEEE Trans. Very Large Scale Integr. Syst., vol. 18, no. 7, pp. 1081–1092, Jul. 2010. [7] E. K. Moghaddam, J. Rajski, S. M. Reddy, and M. Kassab, “At-speed scan test with low switching activity,” in Proc. VLSI Test Symp., 2010, pp. 177–182. [8] K. Miyase and S. Kajihara, “XID: Don’t care identification of test patterns for combinational circuits,” IEEE Trans. Comput. Aided Design Integr. Circuits Syst., vol. 23, no. 2, pp. 321–326, Feb. 2004. [9] I. Pomeranz and S. M. Reddy, “Switching activity as a test compaction heuristic for transition faults,” IEEE Trans. Very Large Scale Integr. Syst., vol. 18, no. 9, pp. 1357–1361, Sep. 2010. [10] S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz, and J. Rajski, “Preferred fill: A scalable method to reduce capture power for scan based designs,” in Proc. Int. Test Conf., 2006, pp. 1–10. INTERNATIONAL CONFERENCE ON CIVIL AND MECHANICAL ENGINEERING, ICCME-2014 INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT www.iaetsd.in 27 ISBN:378-26-138420-0233