SlideShare uma empresa Scribd logo
1 de 8
Baixar para ler offline
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14
www.iosrjournals.org

                          Column decoder using PTL for memory
     M.Manimaraboopathy1, S.Sivasaravanababu2, S.Sebastinsuresh3 A. Rajiv4
  1, 2, 3&4
              Assitant Professor/ECE, Veltech HighTech DrRangarajan Dr Sakunthala Engineering college -India

Abstract: Nowadays memory forms an important and necessary part of every system. In order to make the
system more compact and faster, optimization of memory in terms of area, power and speed is to be made. In
this paper column decoder has been implemented to minimize the power and area. The memory architecture is
simulated using Laker-ADP schematic tool. The number of sense amplifiers required for MxN memory is
reduced in ratio 4:1.
Keywords: Laker-ADP schematic tool, decoder, memory

                                               I.    Introduction
         A conceptual memory array organization is shown in Figure 1. The data storage structure, or core,
consists of individual memory cells arranged in an array of horizontal rows and vertical columns. Each cell is
capable of storing 1-bit of binary information. In this structure, there are 2N rows, also called word lines, and 2M
columns, also called bit lines. Thus, the total number of memory cells in this array is 2N x 2M.
         To access a particular memory cell, i.e., a particular data bit in this array, the corresponding word line
and corresponding bit line must be activated (selected) according to the address coming from the outside of the
memory array. The row and column selection operations are accomplished by row and column decoders,
respectively. The row decoder circuit selects one out of 2N word lines according to an N-bit row address, while
the column decoder circuit selects one out of 2M bit-lines according to an M-bit column address. The
performance of the chip interface circuit determines a measure portion of the total memory speed, especially in
high performance SRAMs.

                                         II.    Memory Architecture
         Architecture consists of 6 transistor bit-cell, precharge circuit, sense amplifier, row decoder, column
decoder, control logic.




                                          Figure 1 Memory Architecture
2.1 Transistor Memory Cell
         The basic cell for static memory design is based on 2 cross-coupled inverters with 2 pass transistors.
[1] The memory cell shown in Figure 2 forms the basis for most static random-access memories (SRAM) in
CMOS technology. It uses six transistors to store and access one bit. The four transistors in the center form two
cross-coupled inverters. Due to the feedback structure, a cell will store either 0 or 1 value. In actual devices,
these transistors are made as small as possible to save chip-area, and are very weak. The two lines between the
inverters are connected to two separate bit lines via two n-channel pass-transistors (left and right of the cell).
The gates of those transistors are driven by a word line.


                                               www.iosrjournals.org                                        7 | Page
Column decoder using PTL for memory




                                 Figure 2 Six-transistor SRAM memory cell [4]
2.2Read Operation




                 Figure 2a: Six-transistor (6T) SRAM cell at the onset of read operation [4]

          The 6T SRAM cell has a differential read operation. This means that both the stored value and its
inverse are used in evaluation to determine the stored value. Before the onset of a read operation, the wordline is
held low (grounded) and the two bitlines connected to the cell through transistors M5 and M6 (see Figure 2a)
are precharged high (to VCC). Since the gates of M5 and M6 are held low, these access transistors are off and
the cross-coupled latch is isolated from the bitlines.
          If a ’0’ is stored on the left storage node, the gates of the latch to the right are low. That means that
transistor M3 is initially turned off. In the same way, M2 will also be off initially since its gate is held high. This
results in a simplified model, shown in figure 3.2, for reading a stored ’0’ (reading ’0’).
          In the figure the capacitors Cbit represents the capacitances on the bitlines, which are several
magnitudes larger than the capacitances of the cell. The cell capacitance has here been represented only through
the value held by each inverter (Q=0 and Q=1 respectively).
          The next phase of the read operation scheme is to pull the wordline high and at the same time release
the bitlines. This turns on the access transistors (M5 and M6) and connects the storage nodes to the bitlines. It is
evident that the right storage node (the inverse node) has the same potential as BL and therefore no charge
transfer will be take place on this side.
          The left storage node, on the other hand, is charged to ’0’ (low) [4] while BL is precharged to VCC.
Since transistor M5 now has been turned on, a current is going from Cbit to the storage node. This current
discharges BL while charging the left storage node. As mentioned earlier, the capacitance of BL (Cbit) is far
greater than that of the storage node. This means that the charge sharing alone would lead to a rapid charging of
the storage node, potentially destroying the stored value, while the bitline would remain virtually unchanged.
However, M1 is also turned on which leads to a discharge current from the storage node down to ground. By
making M1 stronger (wider) than M5, the current flowing from the storage node will be large enough to prevent
the node from being charged high.
          After some time of discharging the bitline, the bitline signal is given to a specialized detection circuit
called Sense Amplifier (see Figure 4) through MUX.




                                               www.iosrjournals.org                                           8 | Page
Column decoder using PTL for memory

2.3Write Operation




           Figure 2b: Six-transistor SRAM cell at the onset of write operation [4] (writing ’0’-’1’).
          For a standard 6T SRAM cell, writing is done by lowering one of the bitlines to ground while asserting
the wordline. To write a ’0’ BL is lowered, while writing a ’1’ requires BL to be lowered.
          In the write operation (Figure 2b) the bitlines no longer are released. Instead they are held at VCC and
gnd respectively. If we look at the left side of the memory cell (M1-M5) it is virtually identical to the read
operation (figure 3.2). When the wordline is raised M6 is turned on and current is drawn from the inverse
storage node to BL. At the same time, however, M4 is turned on and, as soon as the potential at the inverse
storage node starts to decrease, current will flow from VCC to the node. In this case M6 has to be stronger than
M4 for the inverse node to change its state. The transistor M4 is a PMOS transistor and inherently weaker than
the NMOS transistor M6. Therefore, making both of them minimum size, according to the process design rules,
will assure that M6 is stronger and that writing is possible. When the inverse node has been pulled low enough,
the transistor M1 will no longer be open and the normal storage node will also flip, leaving the cell in a new
stable state. [4]

2.4 Precharge Circuit
              Precharge plays an important role in decreasing the delay while writing bits into the memory or
reading the bits from memory. [1] Precharge circuit makes both, bit line and bit bar line to charge to precharge
voltage before carrying out either read operation or write operation. The advantage of precharging the lines lies
in the fact that only one line, either bit or bit bar is to discharge compared to the one line charged and other
discharged.




                                 Figure 3 SRAM cell with precharge circuit [5]

                                             www.iosrjournals.org                                         9 | Page
Column decoder using PTL for memory

          Safe read and write operations require a modification of the memory array and timing sequence, based
on a precharge circuit. The usual voltage of precharge is VDD/2. Before reading or writing to the memory, the
bit lines are tied to VDD/2 using appropriate pass gates. When reading, the BL and ~BL diverge from VDD/2
(Figure 3) and reach the "1" and "0" levels after a short time.

2.5 Sense Amplifier
         The sense amplifier (Figure 4) is an important circuit to regenerate the bit-line signals in a memory
design. The sense amplifier can be also applied to the receiving of long interconnection signal with large RC
delay and large capacitive load signal. Moreover, the complexity of the differential logic circuit can be enhanced
by combining the sense amplifier with differential logic networks to reduce the delay time. [3]




                                            Figure 4 Sense Amplifier

          Due to large arrays of SRAM cells, the resulting signal, in the event of a Read operation, has a much
lower voltage swing. To compensate for that swing a sense amplifier is used to amplify voltage coming off Bit
Line and Bit bar Line.
          In order to read out the value of a given bit of a word in this type of memory, the bit-cell voltage, or
the magnitude of its charge, needs to be sensed, and the results of this sense operation must be delivered to the
rest of the circuit.

2.5 Row Decoder Circuit




                                           Figure 5 Row decoder [4]

        The block diagram of the N:2N row decoder and its associated circuitry is as shown above. Basically,
the decoder selects one of 2N lines, as per the address lines. The output of the decoder is fed to the rows of
                                            www.iosrjournals.org                                        10 | Page
Column decoder using PTL for memory

SRAM cells. The row decoder selects one of those rows, depending on the N-bit address given to it. A normal
decoder can be built using logic gates as we have studied in digital design courses. However, the normal
decoder built using logic gates has drawbacks.

2.6 Column Decoder Circuit




                                          Figure 6 Column Decoder

         The column decoder selects a particular column in the memory array for reading the contents of the
selected memory cell (Figure 6) or to modify its contents. The column selector is based on the same principles
as those of the row decoder. The major modification is that the data flows both ways, that is either from the
memory cell to the Data Out signal (Read cycle), or from the Data In signal to the cell (Write cycle).

2.7 Multiplexer (MUX)
         The Multiplexing circuit is used to route the data to & from the memory depending on the column
address. The inputs to this block are- Output of the column decoder, Input data to be written, read/write (rwb)
signal. Here the bidirectional MUX is realized using PTL logic. (Figure 7) As it uses less number of transistors
(only NMOS) helps in reducing area and power consumption.




                                            Figure 7 Multiplexer


                                           www.iosrjournals.org                                        11 | Page
Column decoder using PTL for memory

2.8 Control Logic




                                               Figure 8 Control Block

         Control logic is the most important block (Figure 8) in the Memory. It senses any changes in external
signals and issues internal signals based on whether it is a read or write operation. These signals are generated in
an order and with accuracy. These signals are routed to memory modules which performs different operation. It
also serves as a bi-directional data bus controller. Inputs to the control logic are the chip select signal, read/write
signal. The control logic will generate the signals like precharge signal, read enable or write enable, row and
column decoder enable.

                                                III.     Results
        Simulation is carried out using Laker-ADP schematic editor to verify the read and write cycle of
memory. Main concentration was in reducing area of the memory by reducing area of bimux using PTL logic
and even reducing number of sense amplifier required by the ratio 4:1 and still maintaining the performance of
the memory. Simulation results for bit cell with sense amplifiers, bidirectional MUX, read cycle are shown
below.

3.1 Output waveforms of Column decoder




                                              www.iosrjournals.org                                           12 | Page
Column decoder using PTL for memory

3.2 Output waveforms of Bimux




3.3 Output waveforms of Sense amplifier




3.4 Output waveforms of Control waveform




                                   www.iosrjournals.org                              13 | Page
Column decoder using PTL for memory

                                                  IV.       Conclusion
         Today technology has been changing rapidly to meet requirements like speed, area and power. Attempt
is made to reduce the area by implementing bidirectional MUX using PTL reducing number of transistors
required, which in turn helps in reducing the power.

                                                          References
[1]   Jan M. Rabaey, Anantha Chandraprakasan, Borivoje Nikolic, “Digital integrated circuits, a design perspective,” second edition.
[2]   Allen & Holberg “CMOS Analog Circuit Design”        Second edition, Oxford University press, 2003.
[3]   http://www.cedcc.psu.edu/khanjan/vsrow.htm
[4]   Ingvar Carlson, “Design and Evaluation of High Density 5T SRAM Cache for Advanced Microprocessors,” Master’s thesis
      performed in Electronic Devices, Ingvar Carlson Reg nr: LiTH-ISY-EX-3481-2004, 23rd March 2004
[5]   Ramon Canal CTD – Master, “Memory Structures,” CANS Slides based on: Introduction to CMOS VLSI Design, D. Harris




                                                  www.iosrjournals.org                                                   14 | Page

Mais conteúdo relacionado

Mais procurados

Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioningeSAT Publishing House
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifierSoumyajit Langal
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...jedt_journal
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 

Mais procurados (19)

Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
64 bit sram memory: design paper
64 bit sram memory: design paper64 bit sram memory: design paper
64 bit sram memory: design paper
 
RAM Design
RAM DesignRAM Design
RAM Design
 
Sram technology
Sram technologySram technology
Sram technology
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioning
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifier
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 

Destaque

Implementation of FC-TCR for Reactive Power Control
Implementation of FC-TCR for Reactive Power ControlImplementation of FC-TCR for Reactive Power Control
Implementation of FC-TCR for Reactive Power ControlIOSR Journals
 
Performance of DVR under various Fault conditions in Electrical Distribution ...
Performance of DVR under various Fault conditions in Electrical Distribution ...Performance of DVR under various Fault conditions in Electrical Distribution ...
Performance of DVR under various Fault conditions in Electrical Distribution ...IOSR Journals
 
Environmental health Effect and Air Pollution from cigarette smokers in Cross...
Environmental health Effect and Air Pollution from cigarette smokers in Cross...Environmental health Effect and Air Pollution from cigarette smokers in Cross...
Environmental health Effect and Air Pollution from cigarette smokers in Cross...IOSR Journals
 
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers State
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers StateAdjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers State
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers StateIOSR Journals
 
Deniable Encryption Key
Deniable Encryption KeyDeniable Encryption Key
Deniable Encryption KeyIOSR Journals
 
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...IOSR Journals
 
Efficient Forecasting of Exchange rates with Recurrent FLANN
Efficient Forecasting of Exchange rates with Recurrent FLANNEfficient Forecasting of Exchange rates with Recurrent FLANN
Efficient Forecasting of Exchange rates with Recurrent FLANNIOSR Journals
 
A Hierarchical Feature Set optimization for effective code change based Defec...
A Hierarchical Feature Set optimization for effective code change based Defec...A Hierarchical Feature Set optimization for effective code change based Defec...
A Hierarchical Feature Set optimization for effective code change based Defec...IOSR Journals
 
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRM
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRMMDSR to Reduce Link Breakage Routing Overhead in MANET Using PRM
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRMIOSR Journals
 
Temperature and Azimuth angle variation effect on the Building Integrated Pho...
Temperature and Azimuth angle variation effect on the Building Integrated Pho...Temperature and Azimuth angle variation effect on the Building Integrated Pho...
Temperature and Azimuth angle variation effect on the Building Integrated Pho...IOSR Journals
 
Efficient Fpe Algorithm For Encrypting Credit Card Numbers
Efficient Fpe Algorithm For Encrypting Credit Card NumbersEfficient Fpe Algorithm For Encrypting Credit Card Numbers
Efficient Fpe Algorithm For Encrypting Credit Card NumbersIOSR Journals
 
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...IOSR Journals
 
Wireless Energy Transfer
Wireless Energy TransferWireless Energy Transfer
Wireless Energy TransferIOSR Journals
 
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...IOSR Journals
 
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...IOSR Journals
 
Design of a micro device to electrically separate and count wbc from whole blood
Design of a micro device to electrically separate and count wbc from whole bloodDesign of a micro device to electrically separate and count wbc from whole blood
Design of a micro device to electrically separate and count wbc from whole bloodIOSR Journals
 
The Delivery of Web Mining in Healthcare System on Cloud Computing
The Delivery of Web Mining in Healthcare System on Cloud ComputingThe Delivery of Web Mining in Healthcare System on Cloud Computing
The Delivery of Web Mining in Healthcare System on Cloud ComputingIOSR Journals
 
Survey of Real Time Scheduling Algorithms
Survey of Real Time Scheduling AlgorithmsSurvey of Real Time Scheduling Algorithms
Survey of Real Time Scheduling AlgorithmsIOSR Journals
 
Improving security for data migration in cloud computing using randomized enc...
Improving security for data migration in cloud computing using randomized enc...Improving security for data migration in cloud computing using randomized enc...
Improving security for data migration in cloud computing using randomized enc...IOSR Journals
 
Correlation Preserving Indexing Based Text Clustering
Correlation Preserving Indexing Based Text ClusteringCorrelation Preserving Indexing Based Text Clustering
Correlation Preserving Indexing Based Text ClusteringIOSR Journals
 

Destaque (20)

Implementation of FC-TCR for Reactive Power Control
Implementation of FC-TCR for Reactive Power ControlImplementation of FC-TCR for Reactive Power Control
Implementation of FC-TCR for Reactive Power Control
 
Performance of DVR under various Fault conditions in Electrical Distribution ...
Performance of DVR under various Fault conditions in Electrical Distribution ...Performance of DVR under various Fault conditions in Electrical Distribution ...
Performance of DVR under various Fault conditions in Electrical Distribution ...
 
Environmental health Effect and Air Pollution from cigarette smokers in Cross...
Environmental health Effect and Air Pollution from cigarette smokers in Cross...Environmental health Effect and Air Pollution from cigarette smokers in Cross...
Environmental health Effect and Air Pollution from cigarette smokers in Cross...
 
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers State
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers StateAdjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers State
Adjustment of Cost 231 Hata Path Model For Cellular Transmission in Rivers State
 
Deniable Encryption Key
Deniable Encryption KeyDeniable Encryption Key
Deniable Encryption Key
 
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...
Information Hiding for “Color to Gray and back” with Hartley, Slant and Kekre...
 
Efficient Forecasting of Exchange rates with Recurrent FLANN
Efficient Forecasting of Exchange rates with Recurrent FLANNEfficient Forecasting of Exchange rates with Recurrent FLANN
Efficient Forecasting of Exchange rates with Recurrent FLANN
 
A Hierarchical Feature Set optimization for effective code change based Defec...
A Hierarchical Feature Set optimization for effective code change based Defec...A Hierarchical Feature Set optimization for effective code change based Defec...
A Hierarchical Feature Set optimization for effective code change based Defec...
 
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRM
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRMMDSR to Reduce Link Breakage Routing Overhead in MANET Using PRM
MDSR to Reduce Link Breakage Routing Overhead in MANET Using PRM
 
Temperature and Azimuth angle variation effect on the Building Integrated Pho...
Temperature and Azimuth angle variation effect on the Building Integrated Pho...Temperature and Azimuth angle variation effect on the Building Integrated Pho...
Temperature and Azimuth angle variation effect on the Building Integrated Pho...
 
Efficient Fpe Algorithm For Encrypting Credit Card Numbers
Efficient Fpe Algorithm For Encrypting Credit Card NumbersEfficient Fpe Algorithm For Encrypting Credit Card Numbers
Efficient Fpe Algorithm For Encrypting Credit Card Numbers
 
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...
Inflammatory Conditions Mimicking Tumours In Calabar: A 30 Year Study (1978-2...
 
Wireless Energy Transfer
Wireless Energy TransferWireless Energy Transfer
Wireless Energy Transfer
 
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...
Impulsion of Mining Paradigm with Density Based Clustering of Multi Dimension...
 
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...
Effectual Routine for Trilateral Authentication in Ad-hoc Networks using Mult...
 
Design of a micro device to electrically separate and count wbc from whole blood
Design of a micro device to electrically separate and count wbc from whole bloodDesign of a micro device to electrically separate and count wbc from whole blood
Design of a micro device to electrically separate and count wbc from whole blood
 
The Delivery of Web Mining in Healthcare System on Cloud Computing
The Delivery of Web Mining in Healthcare System on Cloud ComputingThe Delivery of Web Mining in Healthcare System on Cloud Computing
The Delivery of Web Mining in Healthcare System on Cloud Computing
 
Survey of Real Time Scheduling Algorithms
Survey of Real Time Scheduling AlgorithmsSurvey of Real Time Scheduling Algorithms
Survey of Real Time Scheduling Algorithms
 
Improving security for data migration in cloud computing using randomized enc...
Improving security for data migration in cloud computing using randomized enc...Improving security for data migration in cloud computing using randomized enc...
Improving security for data migration in cloud computing using randomized enc...
 
Correlation Preserving Indexing Based Text Clustering
Correlation Preserving Indexing Based Text ClusteringCorrelation Preserving Indexing Based Text Clustering
Correlation Preserving Indexing Based Text Clustering
 

Semelhante a B0540714

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...VLSICS Design
 
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...VLSICS Design
 

Semelhante a B0540714 (20)

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
 
Mu2421122117
Mu2421122117Mu2421122117
Mu2421122117
 
C0211520
C0211520C0211520
C0211520
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
 
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
 

Mais de IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

B0540714

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 www.iosrjournals.org Column decoder using PTL for memory M.Manimaraboopathy1, S.Sivasaravanababu2, S.Sebastinsuresh3 A. Rajiv4 1, 2, 3&4 Assitant Professor/ECE, Veltech HighTech DrRangarajan Dr Sakunthala Engineering college -India Abstract: Nowadays memory forms an important and necessary part of every system. In order to make the system more compact and faster, optimization of memory in terms of area, power and speed is to be made. In this paper column decoder has been implemented to minimize the power and area. The memory architecture is simulated using Laker-ADP schematic tool. The number of sense amplifiers required for MxN memory is reduced in ratio 4:1. Keywords: Laker-ADP schematic tool, decoder, memory I. Introduction A conceptual memory array organization is shown in Figure 1. The data storage structure, or core, consists of individual memory cells arranged in an array of horizontal rows and vertical columns. Each cell is capable of storing 1-bit of binary information. In this structure, there are 2N rows, also called word lines, and 2M columns, also called bit lines. Thus, the total number of memory cells in this array is 2N x 2M. To access a particular memory cell, i.e., a particular data bit in this array, the corresponding word line and corresponding bit line must be activated (selected) according to the address coming from the outside of the memory array. The row and column selection operations are accomplished by row and column decoders, respectively. The row decoder circuit selects one out of 2N word lines according to an N-bit row address, while the column decoder circuit selects one out of 2M bit-lines according to an M-bit column address. The performance of the chip interface circuit determines a measure portion of the total memory speed, especially in high performance SRAMs. II. Memory Architecture Architecture consists of 6 transistor bit-cell, precharge circuit, sense amplifier, row decoder, column decoder, control logic. Figure 1 Memory Architecture 2.1 Transistor Memory Cell The basic cell for static memory design is based on 2 cross-coupled inverters with 2 pass transistors. [1] The memory cell shown in Figure 2 forms the basis for most static random-access memories (SRAM) in CMOS technology. It uses six transistors to store and access one bit. The four transistors in the center form two cross-coupled inverters. Due to the feedback structure, a cell will store either 0 or 1 value. In actual devices, these transistors are made as small as possible to save chip-area, and are very weak. The two lines between the inverters are connected to two separate bit lines via two n-channel pass-transistors (left and right of the cell). The gates of those transistors are driven by a word line. www.iosrjournals.org 7 | Page
  • 2. Column decoder using PTL for memory Figure 2 Six-transistor SRAM memory cell [4] 2.2Read Operation Figure 2a: Six-transistor (6T) SRAM cell at the onset of read operation [4] The 6T SRAM cell has a differential read operation. This means that both the stored value and its inverse are used in evaluation to determine the stored value. Before the onset of a read operation, the wordline is held low (grounded) and the two bitlines connected to the cell through transistors M5 and M6 (see Figure 2a) are precharged high (to VCC). Since the gates of M5 and M6 are held low, these access transistors are off and the cross-coupled latch is isolated from the bitlines. If a ’0’ is stored on the left storage node, the gates of the latch to the right are low. That means that transistor M3 is initially turned off. In the same way, M2 will also be off initially since its gate is held high. This results in a simplified model, shown in figure 3.2, for reading a stored ’0’ (reading ’0’). In the figure the capacitors Cbit represents the capacitances on the bitlines, which are several magnitudes larger than the capacitances of the cell. The cell capacitance has here been represented only through the value held by each inverter (Q=0 and Q=1 respectively). The next phase of the read operation scheme is to pull the wordline high and at the same time release the bitlines. This turns on the access transistors (M5 and M6) and connects the storage nodes to the bitlines. It is evident that the right storage node (the inverse node) has the same potential as BL and therefore no charge transfer will be take place on this side. The left storage node, on the other hand, is charged to ’0’ (low) [4] while BL is precharged to VCC. Since transistor M5 now has been turned on, a current is going from Cbit to the storage node. This current discharges BL while charging the left storage node. As mentioned earlier, the capacitance of BL (Cbit) is far greater than that of the storage node. This means that the charge sharing alone would lead to a rapid charging of the storage node, potentially destroying the stored value, while the bitline would remain virtually unchanged. However, M1 is also turned on which leads to a discharge current from the storage node down to ground. By making M1 stronger (wider) than M5, the current flowing from the storage node will be large enough to prevent the node from being charged high. After some time of discharging the bitline, the bitline signal is given to a specialized detection circuit called Sense Amplifier (see Figure 4) through MUX. www.iosrjournals.org 8 | Page
  • 3. Column decoder using PTL for memory 2.3Write Operation Figure 2b: Six-transistor SRAM cell at the onset of write operation [4] (writing ’0’-’1’). For a standard 6T SRAM cell, writing is done by lowering one of the bitlines to ground while asserting the wordline. To write a ’0’ BL is lowered, while writing a ’1’ requires BL to be lowered. In the write operation (Figure 2b) the bitlines no longer are released. Instead they are held at VCC and gnd respectively. If we look at the left side of the memory cell (M1-M5) it is virtually identical to the read operation (figure 3.2). When the wordline is raised M6 is turned on and current is drawn from the inverse storage node to BL. At the same time, however, M4 is turned on and, as soon as the potential at the inverse storage node starts to decrease, current will flow from VCC to the node. In this case M6 has to be stronger than M4 for the inverse node to change its state. The transistor M4 is a PMOS transistor and inherently weaker than the NMOS transistor M6. Therefore, making both of them minimum size, according to the process design rules, will assure that M6 is stronger and that writing is possible. When the inverse node has been pulled low enough, the transistor M1 will no longer be open and the normal storage node will also flip, leaving the cell in a new stable state. [4] 2.4 Precharge Circuit Precharge plays an important role in decreasing the delay while writing bits into the memory or reading the bits from memory. [1] Precharge circuit makes both, bit line and bit bar line to charge to precharge voltage before carrying out either read operation or write operation. The advantage of precharging the lines lies in the fact that only one line, either bit or bit bar is to discharge compared to the one line charged and other discharged. Figure 3 SRAM cell with precharge circuit [5] www.iosrjournals.org 9 | Page
  • 4. Column decoder using PTL for memory Safe read and write operations require a modification of the memory array and timing sequence, based on a precharge circuit. The usual voltage of precharge is VDD/2. Before reading or writing to the memory, the bit lines are tied to VDD/2 using appropriate pass gates. When reading, the BL and ~BL diverge from VDD/2 (Figure 3) and reach the "1" and "0" levels after a short time. 2.5 Sense Amplifier The sense amplifier (Figure 4) is an important circuit to regenerate the bit-line signals in a memory design. The sense amplifier can be also applied to the receiving of long interconnection signal with large RC delay and large capacitive load signal. Moreover, the complexity of the differential logic circuit can be enhanced by combining the sense amplifier with differential logic networks to reduce the delay time. [3] Figure 4 Sense Amplifier Due to large arrays of SRAM cells, the resulting signal, in the event of a Read operation, has a much lower voltage swing. To compensate for that swing a sense amplifier is used to amplify voltage coming off Bit Line and Bit bar Line. In order to read out the value of a given bit of a word in this type of memory, the bit-cell voltage, or the magnitude of its charge, needs to be sensed, and the results of this sense operation must be delivered to the rest of the circuit. 2.5 Row Decoder Circuit Figure 5 Row decoder [4] The block diagram of the N:2N row decoder and its associated circuitry is as shown above. Basically, the decoder selects one of 2N lines, as per the address lines. The output of the decoder is fed to the rows of www.iosrjournals.org 10 | Page
  • 5. Column decoder using PTL for memory SRAM cells. The row decoder selects one of those rows, depending on the N-bit address given to it. A normal decoder can be built using logic gates as we have studied in digital design courses. However, the normal decoder built using logic gates has drawbacks. 2.6 Column Decoder Circuit Figure 6 Column Decoder The column decoder selects a particular column in the memory array for reading the contents of the selected memory cell (Figure 6) or to modify its contents. The column selector is based on the same principles as those of the row decoder. The major modification is that the data flows both ways, that is either from the memory cell to the Data Out signal (Read cycle), or from the Data In signal to the cell (Write cycle). 2.7 Multiplexer (MUX) The Multiplexing circuit is used to route the data to & from the memory depending on the column address. The inputs to this block are- Output of the column decoder, Input data to be written, read/write (rwb) signal. Here the bidirectional MUX is realized using PTL logic. (Figure 7) As it uses less number of transistors (only NMOS) helps in reducing area and power consumption. Figure 7 Multiplexer www.iosrjournals.org 11 | Page
  • 6. Column decoder using PTL for memory 2.8 Control Logic Figure 8 Control Block Control logic is the most important block (Figure 8) in the Memory. It senses any changes in external signals and issues internal signals based on whether it is a read or write operation. These signals are generated in an order and with accuracy. These signals are routed to memory modules which performs different operation. It also serves as a bi-directional data bus controller. Inputs to the control logic are the chip select signal, read/write signal. The control logic will generate the signals like precharge signal, read enable or write enable, row and column decoder enable. III. Results Simulation is carried out using Laker-ADP schematic editor to verify the read and write cycle of memory. Main concentration was in reducing area of the memory by reducing area of bimux using PTL logic and even reducing number of sense amplifier required by the ratio 4:1 and still maintaining the performance of the memory. Simulation results for bit cell with sense amplifiers, bidirectional MUX, read cycle are shown below. 3.1 Output waveforms of Column decoder www.iosrjournals.org 12 | Page
  • 7. Column decoder using PTL for memory 3.2 Output waveforms of Bimux 3.3 Output waveforms of Sense amplifier 3.4 Output waveforms of Control waveform www.iosrjournals.org 13 | Page
  • 8. Column decoder using PTL for memory IV. Conclusion Today technology has been changing rapidly to meet requirements like speed, area and power. Attempt is made to reduce the area by implementing bidirectional MUX using PTL reducing number of transistors required, which in turn helps in reducing the power. References [1] Jan M. Rabaey, Anantha Chandraprakasan, Borivoje Nikolic, “Digital integrated circuits, a design perspective,” second edition. [2] Allen & Holberg “CMOS Analog Circuit Design” Second edition, Oxford University press, 2003. [3] http://www.cedcc.psu.edu/khanjan/vsrow.htm [4] Ingvar Carlson, “Design and Evaluation of High Density 5T SRAM Cache for Advanced Microprocessors,” Master’s thesis performed in Electronic Devices, Ingvar Carlson Reg nr: LiTH-ISY-EX-3481-2004, 23rd March 2004 [5] Ramon Canal CTD – Master, “Memory Structures,” CANS Slides based on: Introduction to CMOS VLSI Design, D. Harris www.iosrjournals.org 14 | Page