SlideShare uma empresa Scribd logo
1 de 37
Level sensitive scan
design
PRESENTED BY,
PRAVEENKUMAR S – 15E137
1
Design for testability
 Design for testability (DFT) refers to the design techniques
that make test generation and test application cost-
effective.
 DFT methods for digital circuits:
 Ad-hoc methods
 Structured methods:
 Scan
 Partial Scan
 Boundary scan
2
Scan chain
 Circuit is designed using pre-specified design rules.
 Test structure (hardware) is added to the verified design:
 Replacing flip-flops by scan flip-flops and connect to form one or more
shift registers in the test mode.
 Make input/output of each scan shift register controllable/observable
from PI/PO.
 Scan design techniques involves modifying the registers to allow them to be
chained into a long shift register, called a scan chain.
3
Scan Flip-Flop (master-slave) 4
D
TC
SD
CK
Q
Q
MUX
D flip-flop
Master latch Slave latch
CK
TC Normal mode, D selected Scan mode, SD selected
Master open Slave open
t
t
Logic
overhead
Operation
 Circuit with two modes of operation
 Normal functional mode
 Test mode
 Circuit bistables are interconnected into a shift register With the circuit in test
mode It is possible to shift an arbitrary test pattern into the bistables.
 By returning the circuit to normal mode for one clock period the
combinational circuitry acts upon the bistable contents and primary input
signals, Stores the results in the bistables Circuit is then placed into test mode
 It is possible to shift out the contents of the bistables and compare these
contents with the correct response
5
Level-Sensitive Scan-Design Latch
(LSSD)
6
D
SD
MCK
Q
Q
D flip-flop
Master latch Slave latch
t
SCK
TCK
SCK
MCK
TCK
Normal
mode
MCK
TCK
Scan
mode
Logic
overhead
Adding Scan Structure 7
SFF
SFF
SFF
Combinational
logic
PI PO
SCANOUT
SCANIN
TC or TCK
Comb. Test Vectors 8
I2I1
O1 O2
PI
PO
SCANIN
SCANOUT
S1 S2
N1 N2
0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0TC
Don’t care
or random
bits
Sequence length = (nsff + 1) ncomb + nsff clock periods
ncomb = number of combinational vectors
nsff = number of scan flip-flops
Multiple Scan Registers
 Scan flip-flops can be distributed among
any number of shift registers, each having
a separate scanin and scanout pin.
 Test sequence length is determined by
the longest scan shift register.
9
SFF
SFF
SFF
Combinational
logic
PI/SCANIN PO/
SCANOUTM
U
X
CK
TC
Hierarchical Scan
 Scan flip-flops are chained within
subnetworks before chaining subnetworks.
 Advantages:
 Automatic scan insertion in netlist
 Circuit hierarchy preserved – helps in debugging and
design changes
 Disadvantage: Non-optimum chip layout.
10
SFF1
SFF2 SFF3
SFF4
SFF3SFF1
SFF2SFF4
Scanin Scanout
Scanin
Scanout
Hierarchical netlist Flat layout
Cons.
 If the path is a critical timing path, performance of the whole system is
affected.
 Another disadvantage of scan design, when compared to some other DFT
techniques, is that the scan chain is very long.
 Shifting test vectors in and result vectors out takes a large fraction of test time,
so the system cannot be tested at full operational speed.
11
Level-Sensitive Scan Design (LSSD)
 This approach was introduced by Eichelberger and T. Williams in 1977 and 1978.
It is a latch-based design used at IBM.
 It guarantees race-free and hazard-free system operation as well as testing.
 Level-sensitive scan design (LSSD) is part of an integrated circuit manufacturing
test process.
 It is a DFT scan design method which uses separate system and scan clocks to
distinguish between normal and test mode.
 Latches are used in pairs, each has a normal data input, data output and clock
for system operation. For test operation, the two latches form a master/slave
pair with one scan input, one scan output and non-overlapping scan clocks A
and B which are held low during system operation but cause the scan data to
be latched when pulsed high during scan.
12
Advantages & Drawbacks of LSSD
1. Correct operation independent of AC characteristics is guaranteed.
2. FSM is reduced to combinational logic as far as testing is concerned.
3. Hazards and races are eliminated, which simplifies test generation and fault
simulation.
1. Complex design rules are imposed on designers.
2. Asynchronous designs are not allowed in this approach.
3. Sequential routing of latches can introduce irregular structures.
4. Faults changing combinational function to sequential one may cause trouble, e.g.,
bridging and CMOS stuck-open faults.
5. Test application becomes a slow process, and normal-speed testing of the entire test
sequence is impossible.
6. It is not good for memory intensive designs.
13
Boundary scan test
14
Boundary scan
 Boundary scan is a method for testing interconnects (wire lines) on printed circuit
boards or sub-blocks inside an integrated circuit. Boundary scan is also widely used
as a debugging method to watch integrated circuit pin states, measure voltage, or
analyze sub-blocks inside an integrated circuit.
 The success of boundary scan techniques led to the formation of the Joint Test
Action Group (JTAG) in the 1980s for standardizing boundary scan components and
protocols.
 Each component have a test access port (TAP), consisting of the following
connections:
o Test Clock (TCK): provides the clock signal for the test logic.
o Test Mode Select Input (TMS): controls test operation.
o Test Data Input (TDI): serial input for test data and instructions.
o Test Data Output (TDO): serial output for test data and instructions.
15
16
Boundary Scan Test Logic 17
Operation
 To test the PCB, the test equipment shifts a test vector into the scan chain.
When the chain is loaded, the vector is driven onto the external outputs of the
chips.
 The scan-chain flip-flops then sample the external inputs, and the sampled
values are shifted out to the test equipment.
 The test equipment can then verify that all of the connections between the
chips.
 The TAP Controller operates as a simple finite-state machine, changing between
states depending on the value of the TMS input. Different states govern shifting
of data into the Instruction Register or one of the data registers.
 The JTAG standard defines a number of instructions formats for operations that
select among data registers, control the mode of the scan chain
18
A BS cell 19
1. Normal: Mode_Control=0;
IN->OUT
2. Scan: ShiftDR=1,ClockDR;
TDI->...->SIN->SOUT->...TDO
3. Capture: ShiftDR=0, ClcokDR;
IN-> QA, OUT driven by IN or QB
4. Update: Mode_Control=1, UpdateDR;
QA->OUT
TAP Controller State Diagram
20
Select-DR-Scan
Capture-DR
Shift-DR
Exit1-DR
Pause-DR
Exit2-DR
Update-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
Capture-IR
Shift-IR
Exit1-IR
Pause-IR
Exit2-IR
Update-IR
0
0
1
0
1
1
1
0
1
0
0
0101
States of TAP Controller
 Test-Logic-Reset: normal mode
 Run-Test/Idle: wait for internal test
 Select-DR-Scan: initiate a data-scan sequence
 Capture-DR: load test data in parallel
 Shift-DR: load test data in series
 Exit1-DR: finish phase-1 shifting of data
 Pause-DR: temporarily hold the scan operation (allow the bus master to reload
data)
 Exit2-DR: finish phase-2 shifting of data
 Update-DR: parallel load from associated shift registers
21
BSDL
 BSDL description of a component, together with a set of test vectors, as input to
ATE for testing the component and the board in which it is embedded.
 Test data can be shifted into the cells at the inputs and then driven onto the
core’s inputs. The core’s outputs can be sampled into the cells at the output
pins and then shifted out to the ATE.
 Thus, the JTAG architecture solves two problems: in-circuit testing of
components in a system, and in-circuit testing of the connections between the
components.
22
Boundary Scan
Instructions
23
BYPASS Instruction
24
TDI
TMS
TCK
TRST_N
TDO
Finite
State
Machine
Instruction Register
Instruction
Decode
SOSI
Bypass Reg. Bypasses scan chain with
1-bit register
Usually loaded in chips
that are idle while other
chips on the board are
being tested
EXTEST & SAMPLE/PRELOAD
• Test off-chip circuits and board-level
interconnections
• Data is first loaded into boundary register chain
with SAMPLE/PRELOAD instruction
• Samples inputs and outputs, pass-through
• Loads boundary register with data
25
SAMPLE/PRELOAD: Start
26
SAMPLE/
PRELOAD
Chip
Core
TAP
Controller
TDI
TMS
TCK
TDO
TRST_N
BYPASS
TAP Controller State Diagram
Select-DR-Scan
Capture-DR
Shift-DR
Exit1-DR
Pause-DR
Exit2-DR
Update-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
Capture-IR
Shift-IR
Exit1-IR
Pause-IR
Exit2-IR
Update-IR
0
0
1
0
1
1
1
0
1
0
0
0101
27
SAMPLE/PRELOAD: UpdateIR
28
SAMPLE/
PRELOAD
Chip
Core
TAP
Controller
TDI
TMS
TCK
TDO
TRST_N
BYPASSSMP/PRLD
DATA 1.Get snapshot of
normal chip output
signals
2.Put data on bound.
scan chain before next
instr.
Update-DR
Exit2-DR
Pause-DR
Exit1-DR
Shift-DR
Exit2-IR
Pause-IR
Exit1-IR
Shift-IR
Capture-IR
TAP Controller State Diagram
Select-DR-Scan
Capture-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
0
0
1
0
1
1
1
0
1
0
0
0101
Update-IR
29
SAMPLE/PRELOAD: CaptureDR
30
Chip
Core
TAP
Controller
TDI
TMS
TCK
TDO
TRST_N
SMP/PRLD
DATA
Mode=0
Capture (sample)
0 1 0 1
0 1 0 1
0
1
0
Update-DR
Exit2-DR
Pause-DR
Exit1-DR
Shift-DR
Update-IR
Exit2-IR
Pause-IR
Exit1-IR
Shift-IR
Capture-IR
TAP Controller State Diagram
Select-DR-Scan
Capture-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
0
0
1
0
1
1
1
0
1
0
0
0101
31
SAMPLE/PRELOAD: ShiftDR
32
Chip
Core
TAP
Controller
TDI
TMS
TCK
TDO
TRST_N
SMP/PRLD
DATA
0 1 0 11 0 1 0
0 1 0 1
1
0
0
01011
0
1
0
0
1
1
Update-IR
Exit2-IR
Pause-IR
Exit1-IR
Shift-IR
Capture-IR
TAP Controller State Diagram
Select-DR-Scan
Capture-DR
Shift-DR
Exit1-DR
Pause-DR
Exit2-DR
Update-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
0
0
1
0
1
1
1
0
1
0
0
0101
33
SAMPLE/PRELOAD: UpdateDR
34
Chip
Core
TAP
Controller
TDI
TMS
TCK
TDO
TRST_N
SMP/PRLD
1 0 1 0
0101
0
1
1
Mode=0
TAP Controller State Diagram
Select-DR-Scan
Capture-DR
Shift-DR
Exit1-DR
Pause-DR
Exit2-DR
0
0
1
0
1
1
Test-Logic-Reset
Run-Test/Idle
0
1
0
1
0
1
0
0
Select-IR-Scan
Capture-IR
Shift-IR
Exit1-IR
Pause-IR
Exit2-IR
Update-IR
0
0
1
0
1
1
1
0
1
0
0
0101
Update-DR
35
References
 Lecture ppt on : Intro to boundary scan by David lavo
 Peter J. Ashenden-Digital Design
36
Thank you
37

Mais conteúdo relacionado

Mais procurados

BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignUsha Mehta
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verificationUsha Mehta
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Usha Mehta
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swethaswethamg18
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing TechniquesA B Shinde
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designUsha Mehta
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
6 verification tools
6 verification tools6 verification tools
6 verification toolsUsha Mehta
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Usha Mehta
 

Mais procurados (20)

BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...
 
FPGA
FPGAFPGA
FPGA
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 

Semelhante a Level sensitive scan design(LSSD) and Boundry scan(BS)

Design of IEEE 1149.1 Tap Controller IP Core
Design of IEEE 1149.1 Tap Controller IP Core Design of IEEE 1149.1 Tap Controller IP Core
Design of IEEE 1149.1 Tap Controller IP Core csandit
 
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP COREDESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP COREcscpconf
 
42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptxsaisudheerkotta
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentationklinetik
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
Review journal CA pRNG with global loop non-uniform rule control
Review journal CA pRNG with global loop non-uniform rule controlReview journal CA pRNG with global loop non-uniform rule control
Review journal CA pRNG with global loop non-uniform rule controldaraaulia Feryando
 
Random access scan
Random access scan Random access scan
Random access scan Harish Peta
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersIJMER
 
UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfSamHoney6
 
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...奈良先端大 情報科学研究科
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineersMohit Mongia
 
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET Journal
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuitsswagatkarve
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
 

Semelhante a Level sensitive scan design(LSSD) and Boundry scan(BS) (20)

Design of IEEE 1149.1 Tap Controller IP Core
Design of IEEE 1149.1 Tap Controller IP Core Design of IEEE 1149.1 Tap Controller IP Core
Design of IEEE 1149.1 Tap Controller IP Core
 
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP COREDESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE
DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE
 
42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx42 PPT-5 BOUNDARY SCAN....pptx
42 PPT-5 BOUNDARY SCAN....pptx
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
 
Review journal CA pRNG with global loop non-uniform rule control
Review journal CA pRNG with global loop non-uniform rule controlReview journal CA pRNG with global loop non-uniform rule control
Review journal CA pRNG with global loop non-uniform rule control
 
Random access scan
Random access scan Random access scan
Random access scan
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature Registers
 
JTAG-Technical-Primer.pdf
JTAG-Technical-Primer.pdfJTAG-Technical-Primer.pdf
JTAG-Technical-Primer.pdf
 
I2C
I2CI2C
I2C
 
UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdf
 
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineers
 
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
IRJET- Broadcasting Test Patterns to Integrated Circuit Via Single Bidirectio...
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuits
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
JTAG
JTAGJTAG
JTAG
 

Mais de Praveen Kumar

An introduction to FUSES
An introduction to FUSESAn introduction to FUSES
An introduction to FUSESPraveen Kumar
 
Introduction to SCADA
Introduction to SCADAIntroduction to SCADA
Introduction to SCADAPraveen Kumar
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSPraveen Kumar
 
Finite word length of IIR filters Limit cycles due to product round-off error...
Finite word length of IIR filters Limit cycles due to product round-off error...Finite word length of IIR filters Limit cycles due to product round-off error...
Finite word length of IIR filters Limit cycles due to product round-off error...Praveen Kumar
 
SOLAR POWER generation using solar PV and Concentrated solar power technology
SOLAR POWER generation using solar PV and Concentrated solar power technologySOLAR POWER generation using solar PV and Concentrated solar power technology
SOLAR POWER generation using solar PV and Concentrated solar power technologyPraveen Kumar
 
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS Praveen Kumar
 
Insertion sort and shell sort
Insertion sort and shell sortInsertion sort and shell sort
Insertion sort and shell sortPraveen Kumar
 
Vehicle safety system in automobiles
Vehicle safety system in automobiles Vehicle safety system in automobiles
Vehicle safety system in automobiles Praveen Kumar
 
Field effect transistors and MOSFET's
Field effect transistors and MOSFET'sField effect transistors and MOSFET's
Field effect transistors and MOSFET'sPraveen Kumar
 
Draft tubes merits and demerits
Draft tubes merits and demeritsDraft tubes merits and demerits
Draft tubes merits and demeritsPraveen Kumar
 
Orcad pspice intro and basics
Orcad pspice intro and basicsOrcad pspice intro and basics
Orcad pspice intro and basicsPraveen Kumar
 
Interfacing GPS with 8051
Interfacing GPS with 8051Interfacing GPS with 8051
Interfacing GPS with 8051Praveen Kumar
 
REVERSE POWER RELAY for solar PV systems
REVERSE POWER RELAY for solar PV systemsREVERSE POWER RELAY for solar PV systems
REVERSE POWER RELAY for solar PV systemsPraveen Kumar
 
Digital Voltmeter, Digital Ammeter and Digital Multimeter
Digital Voltmeter, Digital Ammeter and Digital MultimeterDigital Voltmeter, Digital Ammeter and Digital Multimeter
Digital Voltmeter, Digital Ammeter and Digital MultimeterPraveen Kumar
 
Autonomous bot using OP-AMP(lm741)
Autonomous bot using OP-AMP(lm741)Autonomous bot using OP-AMP(lm741)
Autonomous bot using OP-AMP(lm741)Praveen Kumar
 
Ventilating systems for electrical machines
Ventilating systems for electrical machinesVentilating systems for electrical machines
Ventilating systems for electrical machinesPraveen Kumar
 

Mais de Praveen Kumar (20)

An introduction to FUSES
An introduction to FUSESAn introduction to FUSES
An introduction to FUSES
 
Introduction to SCADA
Introduction to SCADAIntroduction to SCADA
Introduction to SCADA
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
 
Finite word length of IIR filters Limit cycles due to product round-off error...
Finite word length of IIR filters Limit cycles due to product round-off error...Finite word length of IIR filters Limit cycles due to product round-off error...
Finite word length of IIR filters Limit cycles due to product round-off error...
 
SOLAR POWER generation using solar PV and Concentrated solar power technology
SOLAR POWER generation using solar PV and Concentrated solar power technologySOLAR POWER generation using solar PV and Concentrated solar power technology
SOLAR POWER generation using solar PV and Concentrated solar power technology
 
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS
SELECTION OF DRIVES AND CONTROL SCHEMES FOR MACHINE TOOLS
 
Insertion sort and shell sort
Insertion sort and shell sortInsertion sort and shell sort
Insertion sort and shell sort
 
Vehicle safety system in automobiles
Vehicle safety system in automobiles Vehicle safety system in automobiles
Vehicle safety system in automobiles
 
Field effect transistors and MOSFET's
Field effect transistors and MOSFET'sField effect transistors and MOSFET's
Field effect transistors and MOSFET's
 
Draft tubes merits and demerits
Draft tubes merits and demeritsDraft tubes merits and demerits
Draft tubes merits and demerits
 
Orcad pspice intro and basics
Orcad pspice intro and basicsOrcad pspice intro and basics
Orcad pspice intro and basics
 
Interfacing GPS with 8051
Interfacing GPS with 8051Interfacing GPS with 8051
Interfacing GPS with 8051
 
Reverse power relay
Reverse power relayReverse power relay
Reverse power relay
 
REVERSE POWER RELAY for solar PV systems
REVERSE POWER RELAY for solar PV systemsREVERSE POWER RELAY for solar PV systems
REVERSE POWER RELAY for solar PV systems
 
Digital Voltmeter, Digital Ammeter and Digital Multimeter
Digital Voltmeter, Digital Ammeter and Digital MultimeterDigital Voltmeter, Digital Ammeter and Digital Multimeter
Digital Voltmeter, Digital Ammeter and Digital Multimeter
 
Autonomous bot using OP-AMP(lm741)
Autonomous bot using OP-AMP(lm741)Autonomous bot using OP-AMP(lm741)
Autonomous bot using OP-AMP(lm741)
 
Ventilating systems for electrical machines
Ventilating systems for electrical machinesVentilating systems for electrical machines
Ventilating systems for electrical machines
 
Plugging braking
Plugging brakingPlugging braking
Plugging braking
 
Paytm
PaytmPaytm
Paytm
 
Parallel processing
Parallel processingParallel processing
Parallel processing
 

Último

Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpinRaunakKeshri1
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingTeacherCyreneCayanan
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphThiyagu K
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...Sapna Thakur
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfchloefrazer622
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104misteraugie
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfciinovamais
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...PsychoTech Services
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 

Último (20)

Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpin
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
fourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writingfourth grading exam for kindergarten in writing
fourth grading exam for kindergarten in writing
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Disha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdfDisha NEET Physics Guide for classes 11 and 12.pdf
Disha NEET Physics Guide for classes 11 and 12.pdf
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 

Level sensitive scan design(LSSD) and Boundry scan(BS)

  • 1. Level sensitive scan design PRESENTED BY, PRAVEENKUMAR S – 15E137 1
  • 2. Design for testability  Design for testability (DFT) refers to the design techniques that make test generation and test application cost- effective.  DFT methods for digital circuits:  Ad-hoc methods  Structured methods:  Scan  Partial Scan  Boundary scan 2
  • 3. Scan chain  Circuit is designed using pre-specified design rules.  Test structure (hardware) is added to the verified design:  Replacing flip-flops by scan flip-flops and connect to form one or more shift registers in the test mode.  Make input/output of each scan shift register controllable/observable from PI/PO.  Scan design techniques involves modifying the registers to allow them to be chained into a long shift register, called a scan chain. 3
  • 4. Scan Flip-Flop (master-slave) 4 D TC SD CK Q Q MUX D flip-flop Master latch Slave latch CK TC Normal mode, D selected Scan mode, SD selected Master open Slave open t t Logic overhead
  • 5. Operation  Circuit with two modes of operation  Normal functional mode  Test mode  Circuit bistables are interconnected into a shift register With the circuit in test mode It is possible to shift an arbitrary test pattern into the bistables.  By returning the circuit to normal mode for one clock period the combinational circuitry acts upon the bistable contents and primary input signals, Stores the results in the bistables Circuit is then placed into test mode  It is possible to shift out the contents of the bistables and compare these contents with the correct response 5
  • 6. Level-Sensitive Scan-Design Latch (LSSD) 6 D SD MCK Q Q D flip-flop Master latch Slave latch t SCK TCK SCK MCK TCK Normal mode MCK TCK Scan mode Logic overhead
  • 7. Adding Scan Structure 7 SFF SFF SFF Combinational logic PI PO SCANOUT SCANIN TC or TCK
  • 8. Comb. Test Vectors 8 I2I1 O1 O2 PI PO SCANIN SCANOUT S1 S2 N1 N2 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0TC Don’t care or random bits Sequence length = (nsff + 1) ncomb + nsff clock periods ncomb = number of combinational vectors nsff = number of scan flip-flops
  • 9. Multiple Scan Registers  Scan flip-flops can be distributed among any number of shift registers, each having a separate scanin and scanout pin.  Test sequence length is determined by the longest scan shift register. 9 SFF SFF SFF Combinational logic PI/SCANIN PO/ SCANOUTM U X CK TC
  • 10. Hierarchical Scan  Scan flip-flops are chained within subnetworks before chaining subnetworks.  Advantages:  Automatic scan insertion in netlist  Circuit hierarchy preserved – helps in debugging and design changes  Disadvantage: Non-optimum chip layout. 10 SFF1 SFF2 SFF3 SFF4 SFF3SFF1 SFF2SFF4 Scanin Scanout Scanin Scanout Hierarchical netlist Flat layout
  • 11. Cons.  If the path is a critical timing path, performance of the whole system is affected.  Another disadvantage of scan design, when compared to some other DFT techniques, is that the scan chain is very long.  Shifting test vectors in and result vectors out takes a large fraction of test time, so the system cannot be tested at full operational speed. 11
  • 12. Level-Sensitive Scan Design (LSSD)  This approach was introduced by Eichelberger and T. Williams in 1977 and 1978. It is a latch-based design used at IBM.  It guarantees race-free and hazard-free system operation as well as testing.  Level-sensitive scan design (LSSD) is part of an integrated circuit manufacturing test process.  It is a DFT scan design method which uses separate system and scan clocks to distinguish between normal and test mode.  Latches are used in pairs, each has a normal data input, data output and clock for system operation. For test operation, the two latches form a master/slave pair with one scan input, one scan output and non-overlapping scan clocks A and B which are held low during system operation but cause the scan data to be latched when pulsed high during scan. 12
  • 13. Advantages & Drawbacks of LSSD 1. Correct operation independent of AC characteristics is guaranteed. 2. FSM is reduced to combinational logic as far as testing is concerned. 3. Hazards and races are eliminated, which simplifies test generation and fault simulation. 1. Complex design rules are imposed on designers. 2. Asynchronous designs are not allowed in this approach. 3. Sequential routing of latches can introduce irregular structures. 4. Faults changing combinational function to sequential one may cause trouble, e.g., bridging and CMOS stuck-open faults. 5. Test application becomes a slow process, and normal-speed testing of the entire test sequence is impossible. 6. It is not good for memory intensive designs. 13
  • 15. Boundary scan  Boundary scan is a method for testing interconnects (wire lines) on printed circuit boards or sub-blocks inside an integrated circuit. Boundary scan is also widely used as a debugging method to watch integrated circuit pin states, measure voltage, or analyze sub-blocks inside an integrated circuit.  The success of boundary scan techniques led to the formation of the Joint Test Action Group (JTAG) in the 1980s for standardizing boundary scan components and protocols.  Each component have a test access port (TAP), consisting of the following connections: o Test Clock (TCK): provides the clock signal for the test logic. o Test Mode Select Input (TMS): controls test operation. o Test Data Input (TDI): serial input for test data and instructions. o Test Data Output (TDO): serial output for test data and instructions. 15
  • 16. 16
  • 17. Boundary Scan Test Logic 17
  • 18. Operation  To test the PCB, the test equipment shifts a test vector into the scan chain. When the chain is loaded, the vector is driven onto the external outputs of the chips.  The scan-chain flip-flops then sample the external inputs, and the sampled values are shifted out to the test equipment.  The test equipment can then verify that all of the connections between the chips.  The TAP Controller operates as a simple finite-state machine, changing between states depending on the value of the TMS input. Different states govern shifting of data into the Instruction Register or one of the data registers.  The JTAG standard defines a number of instructions formats for operations that select among data registers, control the mode of the scan chain 18
  • 19. A BS cell 19 1. Normal: Mode_Control=0; IN->OUT 2. Scan: ShiftDR=1,ClockDR; TDI->...->SIN->SOUT->...TDO 3. Capture: ShiftDR=0, ClcokDR; IN-> QA, OUT driven by IN or QB 4. Update: Mode_Control=1, UpdateDR; QA->OUT
  • 20. TAP Controller State Diagram 20 Select-DR-Scan Capture-DR Shift-DR Exit1-DR Pause-DR Exit2-DR Update-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan Capture-IR Shift-IR Exit1-IR Pause-IR Exit2-IR Update-IR 0 0 1 0 1 1 1 0 1 0 0 0101
  • 21. States of TAP Controller  Test-Logic-Reset: normal mode  Run-Test/Idle: wait for internal test  Select-DR-Scan: initiate a data-scan sequence  Capture-DR: load test data in parallel  Shift-DR: load test data in series  Exit1-DR: finish phase-1 shifting of data  Pause-DR: temporarily hold the scan operation (allow the bus master to reload data)  Exit2-DR: finish phase-2 shifting of data  Update-DR: parallel load from associated shift registers 21
  • 22. BSDL  BSDL description of a component, together with a set of test vectors, as input to ATE for testing the component and the board in which it is embedded.  Test data can be shifted into the cells at the inputs and then driven onto the core’s inputs. The core’s outputs can be sampled into the cells at the output pins and then shifted out to the ATE.  Thus, the JTAG architecture solves two problems: in-circuit testing of components in a system, and in-circuit testing of the connections between the components. 22
  • 24. BYPASS Instruction 24 TDI TMS TCK TRST_N TDO Finite State Machine Instruction Register Instruction Decode SOSI Bypass Reg. Bypasses scan chain with 1-bit register Usually loaded in chips that are idle while other chips on the board are being tested
  • 25. EXTEST & SAMPLE/PRELOAD • Test off-chip circuits and board-level interconnections • Data is first loaded into boundary register chain with SAMPLE/PRELOAD instruction • Samples inputs and outputs, pass-through • Loads boundary register with data 25
  • 27. TAP Controller State Diagram Select-DR-Scan Capture-DR Shift-DR Exit1-DR Pause-DR Exit2-DR Update-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan Capture-IR Shift-IR Exit1-IR Pause-IR Exit2-IR Update-IR 0 0 1 0 1 1 1 0 1 0 0 0101 27
  • 28. SAMPLE/PRELOAD: UpdateIR 28 SAMPLE/ PRELOAD Chip Core TAP Controller TDI TMS TCK TDO TRST_N BYPASSSMP/PRLD DATA 1.Get snapshot of normal chip output signals 2.Put data on bound. scan chain before next instr.
  • 29. Update-DR Exit2-DR Pause-DR Exit1-DR Shift-DR Exit2-IR Pause-IR Exit1-IR Shift-IR Capture-IR TAP Controller State Diagram Select-DR-Scan Capture-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan 0 0 1 0 1 1 1 0 1 0 0 0101 Update-IR 29
  • 31. Update-DR Exit2-DR Pause-DR Exit1-DR Shift-DR Update-IR Exit2-IR Pause-IR Exit1-IR Shift-IR Capture-IR TAP Controller State Diagram Select-DR-Scan Capture-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan 0 0 1 0 1 1 1 0 1 0 0 0101 31
  • 33. Update-IR Exit2-IR Pause-IR Exit1-IR Shift-IR Capture-IR TAP Controller State Diagram Select-DR-Scan Capture-DR Shift-DR Exit1-DR Pause-DR Exit2-DR Update-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan 0 0 1 0 1 1 1 0 1 0 0 0101 33
  • 35. TAP Controller State Diagram Select-DR-Scan Capture-DR Shift-DR Exit1-DR Pause-DR Exit2-DR 0 0 1 0 1 1 Test-Logic-Reset Run-Test/Idle 0 1 0 1 0 1 0 0 Select-IR-Scan Capture-IR Shift-IR Exit1-IR Pause-IR Exit2-IR Update-IR 0 0 1 0 1 1 1 0 1 0 0 0101 Update-DR 35
  • 36. References  Lecture ppt on : Intro to boundary scan by David lavo  Peter J. Ashenden-Digital Design 36