SlideShare uma empresa Scribd logo
1 de 20
Baixar para ler offline
Challenges in using UVM at SoC
level
Rohit Jindal
ST Microelectronics
2
Agenda
 General Overview of UVM
 Challenges in using UVM at SoC level
 Proposal for using UVM at SoC level
What is UVM?
Universal Verification Methodology
 Testbenches for HDL designs
 UVM has SystemVerilog Base Class Library
 Based on constrained Random Verification approach
 Industry standard developed by Accellera
 Apache 2.0 open-source license
 Key features of the methodology:
 Data design and stimulus generation
 Building and running a verification environment
 3C : Checker, Coverage and Constrains
3
4
The Goal : Automation
Source: Accellera DAC Presentation
5
Key Features of UVM [1]
 Built-in automation
 Transaction manipulation (Print / Pack / [Deep] Copy / Record)
 Defined testbench build and hook-up mechanism
 Flexible automatic test phase interface
 Lot of phases defined for synchronization
 Powerful stimulus generation mechanism using sequences
 On-the-fly randomization control
 Sequence Layering & nested sequence
 Virtual sequences [Controlling multiple sequencers from ‘central place’]
 TLM communication
 Modular and Language independent
 Supports reuse
 Module-to-system
 Project-to-project
6
Key Features of UVM [2]
 Sequences or Testcases
 UVM Tests or sequences separated from TestBench environment
 Uniformity
 Standard structure for all Testbench components
 UVM factories
 UVM factories give flexibility
 Easy to update or modify components without changing original code
 Configuration
 UVM configuration helps in customizing the environment from Top or anywhere
 And more…
 Messaging utilities with on-the-fly control over verbosity
 Ensures random stability
 Compile once and run many times using snapshot
77
Env
(UVC)Agent
Agent
7
Reusable UVM Component Architecture
Agent
Sequencer
sequences
tlm i/f
Driver
vif
tlm i/f
Config:
active_passive
• Generates sequences of
transactions
and passes them to the driver
• Connected to the driver via
TLM interface
• Pulls items from the
sequencer
• Implements signal-level
protocol
and sends to the DUT
• Virtual Interface –
Connection
from the testbench to the
DUT
• Contains DUT signals for a
device
DUT
Monitor
Coverage
Checking
vif
tlm i/f
APB IF
• Independently collects
transactions
from the DUT interface
• Contains events, status,
checking
and coverage
• Sends transactions to a
scoreboard
via TLM write port
• SystemVerilog Interface
• Contains DUT bus signals
• Encapsulates the
components for
a device on the bus
• Contains shared config
information
• A bus protocol can have a
variable number of devices
• Each is represented as an agent
• An env encapsulates and
configures multiple agents
• Also referred to as an UVM
Verification Component (UVC)
88
What Are the Benefits Of This
Architecture?
UVC (UVM Verification Component)
Master Agent
Sequencer
sequences
tlm i/f
Driver
vif
tlm i/f
Collector
vif
tlm i/f
Config:
Slave Agent
Sequencer
sequences
tlm i/f
Driver
vif
tlm i/f
DUT
APB IF
Passive
Architecture exactly the
same across all languages!
Encapsulating components makes
it modular and simplifies maintenance
“Virtual” sequences enable
control at the system level
Control and configure
from above Easy-to-use test
writer interface
Supports module-
to-system reuseConfig:
active
Each component has an UVM parent class
with built-in utilities and automation …
Monitor
tlm i/f
tlm i/f
Collector
vif
tlm i/f
Monitor
tlm i/f
tlm i/f
Config:
Config:
passive
9
Agenda
 General Overview of UVM
 Challenges in using UVM at SoC level
 Proposal for using UVM at SoC level
10
 Quick setup of SoC verification env (integration of
all verification component)
 Synchronization or Control of verification
components
 More emphasize is on system scenarios/
integration rather than on randomization
 Should able to reuse configuration of IP from IP
or sub-system testcases
 Should able to re-produce validation issues
Challenges for SoC verification
Reference IP
UVM Verification Flow User Code
TLM Platform
IO Injector
Receiver
Reference
IP
Verification
SoC
Integration
SoC Validation
ICN
Memory
Virtual Register
I/O UVC
Sequencer
TLM
Driver
Monitor
Seqs
Seqs
Seqs
ICN UVC
Sequencer
TLM
Driver
Monitor
Seqs
Seqs
Seqs
Virtual
Sequence
RTL IP
Reference IP
Protocol
Driver
Protocol
Driver
Monitor
TLM Port
Monitor
TLM Port
RTL IP
UVM Verification Flow at SoC level User Code
TLM Platform
IO Injector
Receiver
Reference
IP
Verification
SoC
Integration
SoC Validation
ICN
Memory
Virtual Register
I/O UVC
Sequencer
DriverMonitor
Seqs
Seqs
Seqs
ICN UVC
Sequencer
DriverMonitor
Seqs
Seqs
Seqs
Processor
No Simple way to control UVC from C
13
Challenges in using UVM at SoC level
 No good way to control UVC from C or processor
 No standard way to support multiple language
(available from Cadence but not Accellera or
IEEE standard)
 Easier said than done to reuse the test cases
(sequences) from IP->sub-system->SoC.
Proposed UVC Architecture… User Code
TLM Platform
IO Injector
Receiver
Reference
IP
Verification
SoC
Integration
SoC Validation
I/O UVC
Sequencer
TLM
Driver
Monitor
Seqs
Seqs
Seqs
TLM 2.0 Export
Processor Controlled Seq
Reg
Reg
RegSequence Name Reg
Control Reg
15
Work done
 Developed a wrapper to connect TLM port
between SystemC and UVM
 Added TLM export in sequencer
 Added a sequence with registers which can be
controlled through TLM port
 Developed Test platform to demonstrate
controlling of sequence from TLM IP
RTL IP3
SoC Verification with UVM User Code
TLM Platform
IO Injector
Receiver
Reference
IP
Verification
SoC
Integration
SoC Validation
ICNTLM Channel
EMI
Test Code (in C)
Transactor
USB
Ether
net
TLM RTL User Code Transactor (Sc or SCEMI)
UVC
IO
Injector
Receiver
SOC
LMI
SLM
USB
Ethernet
ISS
BFM
UVC
UVC
PCI
Tx/Rx Frame
17
Workdone
 Connection of EMI interface with SystemC TLM
channel
 Connection of TLM SystemC port with UVM port
 Developed Test Platform to demonstrate
controlling of sequence from Processor
 C code running on ISS, which is writing to a TLM
Port about the sequence information
 Based on the received information, UVC
sequence runs the required sequence or
generate the random/directed traffic
 Checked the generated data through C testcase
18
 UVM good at IP level and best with standard
interfaces like AHB, AXI etc
 Best for constraint randomization verification
 Good in reusing verification components (like
driver, monitor or agents)
 For efficient reuse verification engineers need to
be expert in UVM and OOPs concept
 Still some gray areas for how to use UVM at SoC
and Accellera can work in this area
My view….
19
Wishlist for UVM 2.0
 Seamless connection between UVM and SystemC ports
 TLM export in sequencer library
 Sequence with registers which can be written from TLM
port in sequencer
 Event queue in sequencer for synchronization
 Standard name for some of the sequences like
generate_interrupt, clear_interrupt, dma_data_transfer
etc
20
Thanks

Mais conteúdo relacionado

Mais procurados

Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsDr. Shivananda Koteshwar
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology TutorialArrow Devices
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Sameh El-Ashry
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomizationNirav Desai
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology LandscapeDVClub
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?Sameh El-Ashry
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0Azad Mishra
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic SequencesArrow Devices
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB ProtocolSwetha GSM
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020Sameh El-Ashry
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog Pushpa Yakkala
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coveragePushpa Yakkala
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertionsHARINATH REDDY
 

Mais procurados (20)

Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Uvm dac2011 final_color
Uvm dac2011 final_colorUvm dac2011 final_color
Uvm dac2011 final_color
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?How to create SystemVerilog verification environment?
How to create SystemVerilog verification environment?
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
system verilog
system verilogsystem verilog
system verilog
 
Apb
ApbApb
Apb
 
UVM: Basic Sequences
UVM: Basic SequencesUVM: Basic Sequences
UVM: Basic Sequences
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020verification_planning_systemverilog_uvm_2020
verification_planning_systemverilog_uvm_2020
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 

Destaque

The ARM Architecture: ARM : ARM Architecture
The ARM Architecture: ARM : ARM ArchitectureThe ARM Architecture: ARM : ARM Architecture
The ARM Architecture: ARM : ARM Architecturesreea4
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)Varun Mahajan
 
Qualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceQualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceJJ Wu
 
Qualcomm SnapDragon 400-based Android Wear
Qualcomm SnapDragon 400-based Android WearQualcomm SnapDragon 400-based Android Wear
Qualcomm SnapDragon 400-based Android WearJJ Wu
 
Arm architecture overview
Arm architecture overviewArm architecture overview
Arm architecture overviewSunil Thorat
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverageNirav Desai
 
[2D6]무늬만 ARM 서버는 가라
[2D6]무늬만 ARM 서버는 가라[2D6]무늬만 ARM 서버는 가라
[2D6]무늬만 ARM 서버는 가라NAVER D2
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challengespboulet
 
Apple A7 Processor
Apple A7 ProcessorApple A7 Processor
Apple A7 ProcessorJJ Wu
 
SAMSUNG Exynos Mobile AP
SAMSUNG Exynos Mobile APSAMSUNG Exynos Mobile AP
SAMSUNG Exynos Mobile APJJ Wu
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
Kratka obikolkanasveta - Panoramic
Kratka obikolkanasveta - PanoramicKratka obikolkanasveta - Panoramic
Kratka obikolkanasveta - Panoramicstefkrastev
 
Eem 41 máquinas asíncronas trifásicas sh5002-1 e-s_esn
Eem 41 máquinas asíncronas trifásicas   sh5002-1 e-s_esnEem 41 máquinas asíncronas trifásicas   sh5002-1 e-s_esn
Eem 41 máquinas asíncronas trifásicas sh5002-1 e-s_esnRudy Alvaro Perez Choque
 
CGT gana sentencia al tribunal médico central de Correos.
CGT gana sentencia al tribunal médico central de Correos.CGT gana sentencia al tribunal médico central de Correos.
CGT gana sentencia al tribunal médico central de Correos.Cgt Correos A Coruña
 

Destaque (20)

SOC Design Challenges and Practices
SOC Design Challenges and PracticesSOC Design Challenges and Practices
SOC Design Challenges and Practices
 
The ARM Architecture: ARM : ARM Architecture
The ARM Architecture: ARM : ARM ArchitectureThe ARM Architecture: ARM : ARM Architecture
The ARM Architecture: ARM : ARM Architecture
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)
 
Qualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceQualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile Device
 
Qualcomm SnapDragon 400-based Android Wear
Qualcomm SnapDragon 400-based Android WearQualcomm SnapDragon 400-based Android Wear
Qualcomm SnapDragon 400-based Android Wear
 
Arm architecture overview
Arm architecture overviewArm architecture overview
Arm architecture overview
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
SATA Protocol
SATA ProtocolSATA Protocol
SATA Protocol
 
[2D6]무늬만 ARM 서버는 가라
[2D6]무늬만 ARM 서버는 가라[2D6]무늬만 ARM 서버는 가라
[2D6]무늬만 ARM 서버는 가라
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Test Bench Development
Test Bench DevelopmentTest Bench Development
Test Bench Development
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
 
Apple A7 Processor
Apple A7 ProcessorApple A7 Processor
Apple A7 Processor
 
SAMSUNG Exynos Mobile AP
SAMSUNG Exynos Mobile APSAMSUNG Exynos Mobile AP
SAMSUNG Exynos Mobile AP
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
Kratka obikolkanasveta - Panoramic
Kratka obikolkanasveta - PanoramicKratka obikolkanasveta - Panoramic
Kratka obikolkanasveta - Panoramic
 
Eem 41 máquinas asíncronas trifásicas sh5002-1 e-s_esn
Eem 41 máquinas asíncronas trifásicas   sh5002-1 e-s_esnEem 41 máquinas asíncronas trifásicas   sh5002-1 e-s_esn
Eem 41 máquinas asíncronas trifásicas sh5002-1 e-s_esn
 
CGT gana sentencia al tribunal médico central de Correos.
CGT gana sentencia al tribunal médico central de Correos.CGT gana sentencia al tribunal médico central de Correos.
CGT gana sentencia al tribunal médico central de Correos.
 
PORTFOLIO ENGLISH
PORTFOLIO ENGLISHPORTFOLIO ENGLISH
PORTFOLIO ENGLISH
 

Semelhante a Challenges in Using UVM at SoC Level

DvClub 2102 tlm based software control of uvcs for vertical verification re...
DvClub 2102   tlm based software control of uvcs for vertical verification re...DvClub 2102   tlm based software control of uvcs for vertical verification re...
DvClub 2102 tlm based software control of uvcs for vertical verification re...Amit Bhandu
 
UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfSamHoney6
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...SamHoney6
 
04+ECETEMT092-+WDT+APB+UVM.pdf
04+ECETEMT092-+WDT+APB+UVM.pdf04+ECETEMT092-+WDT+APB+UVM.pdf
04+ECETEMT092-+WDT+APB+UVM.pdfSamHoney6
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM_Full_Print_n.pptx
UVM_Full_Print_n.pptxUVM_Full_Print_n.pptx
UVM_Full_Print_n.pptxnikitha992646
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designsijcsit
 
OPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT TECHNOLOGIES
 
Industrial Automation rtPAC System
Industrial Automation  rtPAC SystemIndustrial Automation  rtPAC System
Industrial Automation rtPAC Systemsanjaya1984
 
Asia 14-garcia-illera-dude-wtf-in-my-can
Asia 14-garcia-illera-dude-wtf-in-my-canAsia 14-garcia-illera-dude-wtf-in-my-can
Asia 14-garcia-illera-dude-wtf-in-my-caninjenerzntu
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 

Semelhante a Challenges in Using UVM at SoC Level (20)

DvClub 2102 tlm based software control of uvcs for vertical verification re...
DvClub 2102   tlm based software control of uvcs for vertical verification re...DvClub 2102   tlm based software control of uvcs for vertical verification re...
DvClub 2102 tlm based software control of uvcs for vertical verification re...
 
PhD Slides
PhD SlidesPhD Slides
PhD Slides
 
UVM Basics.pdf
UVM Basics.pdfUVM Basics.pdf
UVM Basics.pdf
 
UVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdfUVM_TB_20220621_slides-1.pdf
UVM_TB_20220621_slides-1.pdf
 
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
cupdf.com_chapter-11-system-level-verification-issues-the-importance-of-verif...
 
04+ECETEMT092-+WDT+APB+UVM.pdf
04+ECETEMT092-+WDT+APB+UVM.pdf04+ECETEMT092-+WDT+APB+UVM.pdf
04+ECETEMT092-+WDT+APB+UVM.pdf
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
UVM_Full_Print_n.pptx
UVM_Full_Print_n.pptxUVM_Full_Print_n.pptx
UVM_Full_Print_n.pptx
 
Fault tolerance
Fault toleranceFault tolerance
Fault tolerance
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
QEMU-SystemC (FDL)
QEMU-SystemC (FDL)QEMU-SystemC (FDL)
QEMU-SystemC (FDL)
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
OPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay TestingOPAL-RT Webinar - Challenges in Protection Relay Testing
OPAL-RT Webinar - Challenges in Protection Relay Testing
 
Industrial Automation rtPAC System
Industrial Automation  rtPAC SystemIndustrial Automation  rtPAC System
Industrial Automation rtPAC System
 
Control of Switching.pdf
Control of Switching.pdfControl of Switching.pdf
Control of Switching.pdf
 
Asia 14-garcia-illera-dude-wtf-in-my-can
Asia 14-garcia-illera-dude-wtf-in-my-canAsia 14-garcia-illera-dude-wtf-in-my-can
Asia 14-garcia-illera-dude-wtf-in-my-can
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 

Mais de DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseDVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment OverviewDVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesDVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyDVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUsDVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentDVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal ValidationDVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design CommunityDVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemCDVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessDVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationDVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 ProcessorDVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS VerificationDVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 

Mais de DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

Último

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessPixlogix Infotech
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...Martijn de Jong
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)wesley chun
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 

Último (20)

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 

Challenges in Using UVM at SoC Level

  • 1. Challenges in using UVM at SoC level Rohit Jindal ST Microelectronics
  • 2. 2 Agenda  General Overview of UVM  Challenges in using UVM at SoC level  Proposal for using UVM at SoC level
  • 3. What is UVM? Universal Verification Methodology  Testbenches for HDL designs  UVM has SystemVerilog Base Class Library  Based on constrained Random Verification approach  Industry standard developed by Accellera  Apache 2.0 open-source license  Key features of the methodology:  Data design and stimulus generation  Building and running a verification environment  3C : Checker, Coverage and Constrains 3
  • 4. 4 The Goal : Automation Source: Accellera DAC Presentation
  • 5. 5 Key Features of UVM [1]  Built-in automation  Transaction manipulation (Print / Pack / [Deep] Copy / Record)  Defined testbench build and hook-up mechanism  Flexible automatic test phase interface  Lot of phases defined for synchronization  Powerful stimulus generation mechanism using sequences  On-the-fly randomization control  Sequence Layering & nested sequence  Virtual sequences [Controlling multiple sequencers from ‘central place’]  TLM communication  Modular and Language independent  Supports reuse  Module-to-system  Project-to-project
  • 6. 6 Key Features of UVM [2]  Sequences or Testcases  UVM Tests or sequences separated from TestBench environment  Uniformity  Standard structure for all Testbench components  UVM factories  UVM factories give flexibility  Easy to update or modify components without changing original code  Configuration  UVM configuration helps in customizing the environment from Top or anywhere  And more…  Messaging utilities with on-the-fly control over verbosity  Ensures random stability  Compile once and run many times using snapshot
  • 7. 77 Env (UVC)Agent Agent 7 Reusable UVM Component Architecture Agent Sequencer sequences tlm i/f Driver vif tlm i/f Config: active_passive • Generates sequences of transactions and passes them to the driver • Connected to the driver via TLM interface • Pulls items from the sequencer • Implements signal-level protocol and sends to the DUT • Virtual Interface – Connection from the testbench to the DUT • Contains DUT signals for a device DUT Monitor Coverage Checking vif tlm i/f APB IF • Independently collects transactions from the DUT interface • Contains events, status, checking and coverage • Sends transactions to a scoreboard via TLM write port • SystemVerilog Interface • Contains DUT bus signals • Encapsulates the components for a device on the bus • Contains shared config information • A bus protocol can have a variable number of devices • Each is represented as an agent • An env encapsulates and configures multiple agents • Also referred to as an UVM Verification Component (UVC)
  • 8. 88 What Are the Benefits Of This Architecture? UVC (UVM Verification Component) Master Agent Sequencer sequences tlm i/f Driver vif tlm i/f Collector vif tlm i/f Config: Slave Agent Sequencer sequences tlm i/f Driver vif tlm i/f DUT APB IF Passive Architecture exactly the same across all languages! Encapsulating components makes it modular and simplifies maintenance “Virtual” sequences enable control at the system level Control and configure from above Easy-to-use test writer interface Supports module- to-system reuseConfig: active Each component has an UVM parent class with built-in utilities and automation … Monitor tlm i/f tlm i/f Collector vif tlm i/f Monitor tlm i/f tlm i/f Config: Config: passive
  • 9. 9 Agenda  General Overview of UVM  Challenges in using UVM at SoC level  Proposal for using UVM at SoC level
  • 10. 10  Quick setup of SoC verification env (integration of all verification component)  Synchronization or Control of verification components  More emphasize is on system scenarios/ integration rather than on randomization  Should able to reuse configuration of IP from IP or sub-system testcases  Should able to re-produce validation issues Challenges for SoC verification
  • 11. Reference IP UVM Verification Flow User Code TLM Platform IO Injector Receiver Reference IP Verification SoC Integration SoC Validation ICN Memory Virtual Register I/O UVC Sequencer TLM Driver Monitor Seqs Seqs Seqs ICN UVC Sequencer TLM Driver Monitor Seqs Seqs Seqs Virtual Sequence RTL IP Reference IP Protocol Driver Protocol Driver Monitor TLM Port Monitor TLM Port
  • 12. RTL IP UVM Verification Flow at SoC level User Code TLM Platform IO Injector Receiver Reference IP Verification SoC Integration SoC Validation ICN Memory Virtual Register I/O UVC Sequencer DriverMonitor Seqs Seqs Seqs ICN UVC Sequencer DriverMonitor Seqs Seqs Seqs Processor No Simple way to control UVC from C
  • 13. 13 Challenges in using UVM at SoC level  No good way to control UVC from C or processor  No standard way to support multiple language (available from Cadence but not Accellera or IEEE standard)  Easier said than done to reuse the test cases (sequences) from IP->sub-system->SoC.
  • 14. Proposed UVC Architecture… User Code TLM Platform IO Injector Receiver Reference IP Verification SoC Integration SoC Validation I/O UVC Sequencer TLM Driver Monitor Seqs Seqs Seqs TLM 2.0 Export Processor Controlled Seq Reg Reg RegSequence Name Reg Control Reg
  • 15. 15 Work done  Developed a wrapper to connect TLM port between SystemC and UVM  Added TLM export in sequencer  Added a sequence with registers which can be controlled through TLM port  Developed Test platform to demonstrate controlling of sequence from TLM IP
  • 16. RTL IP3 SoC Verification with UVM User Code TLM Platform IO Injector Receiver Reference IP Verification SoC Integration SoC Validation ICNTLM Channel EMI Test Code (in C) Transactor USB Ether net TLM RTL User Code Transactor (Sc or SCEMI) UVC IO Injector Receiver SOC LMI SLM USB Ethernet ISS BFM UVC UVC PCI Tx/Rx Frame
  • 17. 17 Workdone  Connection of EMI interface with SystemC TLM channel  Connection of TLM SystemC port with UVM port  Developed Test Platform to demonstrate controlling of sequence from Processor  C code running on ISS, which is writing to a TLM Port about the sequence information  Based on the received information, UVC sequence runs the required sequence or generate the random/directed traffic  Checked the generated data through C testcase
  • 18. 18  UVM good at IP level and best with standard interfaces like AHB, AXI etc  Best for constraint randomization verification  Good in reusing verification components (like driver, monitor or agents)  For efficient reuse verification engineers need to be expert in UVM and OOPs concept  Still some gray areas for how to use UVM at SoC and Accellera can work in this area My view….
  • 19. 19 Wishlist for UVM 2.0  Seamless connection between UVM and SystemC ports  TLM export in sequencer library  Sequence with registers which can be written from TLM port in sequencer  Event queue in sequencer for synchronization  Standard name for some of the sequences like generate_interrupt, clear_interrupt, dma_data_transfer etc