SlideShare uma empresa Scribd logo
1 de 5
Baixar para ler offline
ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012



       Modelling of Crosstalk and Delay for Distributed
        RLCG On-Chip Interconnects For Ramp Input
                         1
                             V. Maheshwari, 2S. Gupta, 3R. Kar, 4D. Mandal, 5A. K. Bhattacharjee
                                    1
                                      Hindustan College of Science and Technology, Mathura, U.P., India
                               2
                                   Hindustan Institute of Technology and Management, Agra, U.P., India
                                                       maheshwarivikas1982@gmail.com
                                         3
                                           Department of Electronics and Communication Engineering
                                       National Institute of Technology, Durgapur, West Bengal, INDIA
                                                         rajibkarece@gmail.com


Abstract--In order to accurately model high frequency affects,             the technology contribute to the increase of crosstalk
inductance has been taken into consideration. No longer can                problems: the increase of the number of metal layers [4], the
interconnects be treated as mere delays or lumped RC networks.             increase in the line thickness, the density of integration and
In that frequency range, the most accurate simulation model for            the reduction of the spacing between lines. This set of new
on-chip VLSI interconnects is the distributed RLC model.
                                                                           challenges is referred to as signal integrity in general. Among
Unfortunately, this model has many limitations at much higher
of operating frequency used in today’s VLSI design. The reduction          all these problem, capacitive coupling induced cross talk is
in cross-sectional dimension leads to more tightly couple                  the issue that has been addressed in many literatures.
interconnects and therefore, a higher probability of unwanted              Crosstalk will occur on the chip, on the PCB board, on the
crosstalk interference. This can lead to inaccurate simulations            connectors, on the chip package, and on the connector
if not modelled properly. At even higher frequency, the aggressor          cables.
net carries a signal that couples to the victim net through the                Furthermore, the technology with multi-conductor
parasitic capacitances. To determine the effects that this crosstalk       systems, excessive line-to-line coupling, or crosstalk, can
will have on circuit operation, the resulting delays and logic             cause two detrimental effects. First, crosstalk will change the
levels for the victim nets must be computed. This paper proposes
                                                                           performance of the transmission lines in a bus by modifying
a difference model approach to derive crosstalk and delay in the
transform domain. A closed form solution for crosstalk and delay           the effective characteristic impedance and pro patterns, line-
is obtained by incorporating initial conditions using difference           to-line spacing, and switching rates. In this paper, we have
model approach for distributed RLCG interconnects. The                     proposed a closed form expression for the coupling noise by
simulation is performed in 0.18µm technology node and an error             analyzing the interconnect using RLCG model for ramp input.
of less than 1% has been achieved with the proposed model when             The major drawback of the proposal made in [5] is that it does
compared with SPICE.                                                       not consider the shunt lossy component for estimation of
Keywords- Cr osstalk M ode l, Distr ibuted RLCG Seg ment,                  the coupling noise. The proposed model presented in this
Interconnect, No ise, Delay, VLSI
                                                                           paper is a generic one in the sense that the model proposed
                                                                           in [5] can be easily derived by just neglecting the shunt lossy
                         I. INTRODUCTION
                                                                           component term (i.e. G=0).
    Inductance causes overshoots and undershoots in the                        The rest of the paper is organized as follows: Section 2
signal waveforms, which can adversely affect the signal                    discusses the basic theory, transmission line model, crosstalk,
integrity. For global wires, inductance effects are more severe            glitch and different modes of propagation. Section 3 describes
due to the lower resistance of these lines, which makes the                the difference model and the proposed method for noise and
reactive component of the wire impedance comparable to the                 delay calculation. Section 4 shows the simulation results.
resistive component, and also due to the presence of                       Finally section 5 concludes the paper.
significant mutual inductive coupling between wires resulting
from longer current return paths. It is shown that the                                            II. BASIC THEORY
conductors of a circuit system should be regarded as
                                                                           A. TRANSMISSION LINE MODEL
transmission lines for theoretical analysis and practical design
in the recent high-speed integrated circuit technology [1].                    Defining the point at which an interconnect may be treated
    The design techniques in sub-micron technologies                       as a transmission line and hence, reflection analysis applied,
increase the effects of coupling in interconnections [2]. In               has no consensus of opinion. A rule of thumb is that when
deep sub-micron technology, the order of capacitive coupling               the delay from one end to the other is greater than risetime/2,
between lines reach to some severe values which signifies                  the line is considered as electrically long. If the delay is less
that onecan’t be indifferent to the ampleness of the noise                 than risetime/2, the line is electrically short. A transmission
due to this coupling. Integrated circuit feature sizes continue            line [6] can be described at the circuit level using series
to scale well below 0.18 microns, active device counts are                 inductance and resistance combined with shunt capacitance
reaching hundreds of millions [3]. Several factors bound to                and conductance.An infinitesimal unit length of the

© 2012 ACEEE                                                           1
DOI: 01.IJIT.02.02. 55
ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012


transmission line looks like the circuit as shown in Figure 1.         In multi-conductor systems, crosstalk can cause two
The parameters are defined as follows: R = series resistance           detrimental effects: first, crosstalk will change the performance
per unit length, L = series inductance per unit length, G =            of the transmission lines in a bus by modifying the effective
shunt conductance per unit length, C = shunt capacitance               characteristic impedance and propagation velocity. Secondly,
unit length.                                                           crosstalk will induce noise onto other lines, which may further
                                                                       degrade the signal integrity and reduce noise margins.
                                                                       C. GLITCH
                                                                           Crosstalk Glitch (CTG) is a signal provoked by coupling
                                                                       effects among interconnects lines which have unbalanced
                                                                       drivers and loads [9]. The magnitude of the glitch depends
                                                                       on the ratio of coupling capacitance to that of line to ground
                                                                       capacitance. When a transition signal is applied at a line
                                                                       which has a strong line-driver while stable signals are applied
          Figure 1. RLCG segment of a transmission line                at other lines which have weaker drivers, the stable signals
    It is critical to model the transmission path when designing       may experience a coupling noise due to the transition of the
a high-performance, high-speed serial interconnect system.             stronger signal. A glitch may be induced in connector ‘j’ in
The transmission path may include long transmission lines,             which the signal is static, due to neighbouring connector
connectors, vias and crosstalk from adjacent interconnect.             lines in which the signal is varying [10]. This is given by (1).
Values for R, L, C, and G are extracted from a given layout,                                djk
                                                                         j
designed in 0.18µm technology.                                         Vglitch    L jk       j  k                               (1)
                                                                                   j        dt
B. CROSS TALK                                                          Where Ljk represents mutual inductance between j and kth
                                                                                                                              th

    Crosstalk is the undesired energy imparted to a transmis-          connector. The sign of the coupled voltage is positive or
sion line due to signals in adjacent lines. The magnitude of           negative depending upon whether the k th neighbouring
the crosstalk induced is a function of rise time, signal line          connector undergoes a rising or a falling transition.
geometry and net configuration (type of terminations, etc.).
                                                                       D. ODD MODE
To overcome the problems faced at high frequency of opera-
tion, shielding techniques have been employed [7]. A com-                  When two coupled transmission lines are driven with volt-
mon method of shielding is to place ground or power lines at           ages of equal magnitude and 180 degree out of phase with
the sides of a victim signal line to reduce noise and delay            each other, odd mode propagation occurs. The effective ca-
uncertainty.                                                           pacitance of the transmission line will increase by twice the
    The crosstalk between two coupled interconnects is of-             mutual capacitance, and the equivalent inductance will de-
ten neglected when a shield is inserted, significantly under-          crease by the mutual inductance. In Figure 2, a typical trans-
estimating the coupling noise. The crosstalk noise between             mission line model is considered, where the mutual induc-
two shielded interconnects can produce a peak noise of 15%             tance between aggressor and victim connector is represented
of VDD in a 0.18 µm CMOS technology [8]. An accurate esti-             as M12. L1 and L2 represent the self inductances of aggressor
mate of the peak noise for shielded interconnects is therefore         and victim nodes while Cc, C, denote the coupling capaci-
crucial for high performance VLSI design. In the complicated           tance between aggressor and victim, self capacitance, respec-
multilayered interconnect system, signal coupling and delay            tively.
strongly affect circuit performances. Thus, accurate intercon-             Assuming L1 = L2 = L0, the currents will be of equal magni-
nect characterization and modelling are essential for today’s          tude but flow in opposite direction [10]. Thus, the effective
VLSI circuit design. Two major impacts of cross talk are:              inductance due to odd mode of propagation is given by (2).
(i) Crosstalk induces delays, which change the signal                  Lodd  L1  L2                                                (2)
propagation time, and thus may lead to setup or hold time
                                                                       The magnetic field pattern of the two conductors in odd-
failures.
                                                                       mode is shown in Figure 3.
(ii) Crosstalk induces glitches, which may cause voltage
spikes on wire, resulting in false logic behaviour. Crosstalk
affects mutual inductance as well as inter-wire capacitance.
When the connectors in high speed digital designs are
considered, the mutual inductance plays a predominant role
compared to the inter-wire capacitance. The effect of mutual
inductance is significant in deep submicron technology
(DSM) technology since the spacing between two adjacent
bus lines is very small. The mutual inductance induces a
current from an aggressor line onto a victim line which causes                  Figure 2. Two Coupled Transmission line model
crosstalk between connector lines.

© 2012 ACEEE                                                       2
DOI: 01.IJIT.02.02. 55
ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012


                                                                         The electrical parameters of each section are R”x, L”x, C”x
                                                                         and G”x respectively, where R, L, C and G are per-unit length
                                                                         resistance, inductance, capacitance and conductance of the
                                                                         line, respectively.
                                                                         Using Kirchoff’s Voltage Law (KVL), we can write,
 Figure 3. Magnetic Field              Figure 4. Magnetic Field in
        in Odd Mode                            Even Mode
                                                                                                                    di ( x, t )
                                                                         v ( x, t )  i ( x, t ) Rx  Lx                       v ( x  x , t )             (4)
                                                                                                                       dt
E. EVEN MODE                                                             Using Kirchoff’s Current Law (KCL), we can write,
    When two coupled transmission lines are driven with                                                                dv ( x   x, t )
voltages of equal magnitude and in phase with each other,
                                                                         i ( x , t )  G x v ( x   x , t )  c x                      i ( x  x , t )    (5)
                                                                                                                              dt
even mode of propagation occurs. In this case, the effective
                                                                         Simplifying (4) and (5) and after applying Laplace transform,
capacitance of the transmission line will decrease by the
                                                                         we get,
mutual capacitance and the equivalent inductance will
                                                                             V ( x )
increase by the mutual inductance. Thus, in even-mode                                 ( R  sL ) I ( x)                                                      (6)
                                                                              x
propagation, the currents will be of equal magnitude and
flow in the same direction [10]. The effective inductance, due               I ( x)
                                                                                     (G  sC)V ( x)                                                          (7)
to even mode of propagation is then given by (3).                             x

                                                                         Differentiating (6) and (7) with respect to the x, and after
    III. MODELLINGOF CROSS TALK IN RLCG INTERCONNECT
                                                                         simplifying we get,
A. DIFFERENCE MODEL
                                                                          2V ( x )
                                                                                      2V ( x )                                                               (8)
    The frequency-domain difference approximation [11] pro-                x 2
cedure is more general, because it can directly handle lines             and
with arbitrary frequency-dependent parameters or lines char-
                                                                          2 I ( x)
acterized by data measured in frequency-domain. The time-                             2 I ( x)                                                               (9)
                                                                           x 2
domain difference approximation procedure should be em-                  where P is the propagation constant and is defined as,
ployed only if transient characteristics are available. For a
single RLCG line, the analytical expressions are obtained for                  R  sL G  sC                                                            (10)
the transient characteristics and limiting values for all the
                                                                         The general solution of (8) is given by
modules of the system and device models. The difference
approximation procedure is applied to both the characteristic            V ( x )  A1e  x  A2e x                                                          (11)
admittances and propagation functions and the resultingtime-
                                                                         where A1 and A2 are the constants determined by the
domain device models have the same form as the frequency-
                                                                         boundary conditions. From (8-9) and (11) we get,
domain models. The difference approximation procedure in-
volves an approximation of the dynamic part of the system                    
transfer function, with the complex rational series or distorted
                                                                         
                                                                             x
                                                                                                       
                                                                                A1e  x  A2 e x  ( R  sL) I ( x)                                         (12)
part of the transient characteristic with the real exponential
                                                                         After simplifying we get,
series. This criterion results in simple and efficient approxima-
                                                                                    1
tion algorithms, and requires a minimal number of the original-          I (x ) 
                                                                                    Z0
                                                                                         
                                                                                       A1 e x  A2 ex                      (13)
function samples to be available, which is important if the line
                                                                         where Z0 is the characteristic impedance. Assuming at x=d,
is characterized for delay and crosstalk.
                                                                         the termination voltage and current are V(d) =V2 and
B. ANALYSIS OF CROSSTALK USING DIFFERENCE MODEL                           I (d) =I2, respectively.
   We first consider the interconnect system consisting of                             d     d                              (14)
                                                                             V2  A1e               A2e
single uniform line and ground as shown in Figure 5, and
assume the length of the line is d.                                              1
                                                                             I2   [ A e d  A2e d ]
                                                                                Z0 1                                                                          (15)
                                                                          After solving (14) and (15) for A1 and A2 we get,
                                                                                     1                                                                        (16)
                                                                             A1       V2  I 2 Z 0  e d
                                                                                     2
                                                                                1
                                                                             A2  V  I Z  e d
                                                                                2 2 2 0                                                                       (17)
                                                                         Substituting these values of A1 and A2 in (11)
                                                                                   V  I 2 Z 0   ( d  x ) V2  I 2 Z 0   ( x d )                    (18)
                                                                         V ( x)   2             e                          e           
       Figure 5. Equivalent Circuit of each Uniform Section                            2                           2                     



© 2012 ACEEE                                                         3
DOI: 01.IJIT.02.02. 55
ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012


Now substituting the values of A1 and A2 from (16) and                                  For calculation of the delay expression we consider the 50%
(17) in equation (13) we get,                                                           rise time when v0(t)=0.5v0. From (28) we can get the general
                                                                                        delay expression.
            1 V2  I2Z 0 (dx) V2  I2 Z0 (xd)                                              2   2   2   2
                                                                                        V0  2V0 LC(L G  R C ) 2V0LCt     2V L C
                                                                                                                                 3       R
                                                                                                                                        t   2V LC
                                                                                                                                                     3     G
                                                                                                                                                            t
 I ( x)                   e                  e                           (19)                                       2 0          e L  2 0           e C (34)
            Z0 
                   2                   2              
                                                                                        2    ( LG  R C)R 2G 2   RG    R ( LG  R C)      G ( LG  R C )
                                                                                        After simplification the 50% delay can be derived as,
Let at x=0, V(x) =V1 and I(x) =I1 then from (14) and (18), we can
write:                                                                                                LC                                       (35)
                                                                                           t dela y 
 V1  cosh(  d )V2  Z 0 sinh( d ) I 2                     (20)                                     RG
                                                                                        Equations (28) and (35) represent the proposed model for the
        1                                                                               crosstalk and the delay.
  I1      sinh(d )V2  cosh( d )I 2                      (21)
        Z0
Since ABCD parameters are defined as                                                                             IV. EXPERIMENTAL RESULTS
  V1   A B   V2                                                                       The focus of this paper is on the crosstalk and delay
  I   C D  I                                                       (22)         estimation of the circuits consisting of lumped elements. Most
   1        2 
                                                                                        of the earlier research and reduction techniques consider
Hence, ABCD matrix can be written from (20) and (21) as,                                only capacitive coupling [2, 12]. But in the case of very high
          cos h( d )      Z 0 sinh(  d )                                           frequencies as in GHz scale, inductive crosstalk comes into
  V 1                                      V 2                                    the important role and it should be included for complete
      1                                                                (23)
   I1   Z   sinh(  d )  cosh(  d )   I 2                                      coupling noise analysis. The configuration of circuit for
          0                                
                                            
                                                   
                                                                                        simulation is shown in Figure 2. The high-speed interconnect
The output crosstalk voltage is given by
                  V1 (s )                                                               system consist of two coupled interconnect lines and ground
   V2 (s )                                                                             and the length of the lines is d =10 mm. The sample dimensions
                cosh(d )                                                    (24)
                                                                                        of the cross sections of a minimum sized wire in a 0.18µm
For the ramp input voltage we get,                                                      technology are given in Figure 6.
            V
   V1 ( s)  0 2                                                           (25)
             s
                                     V0
Or ,       V 2 (s ) 
                        s 2 cosh( (R  sL)(G  sC) )
                                                                             (26)

After simplification, (26) reduces to (27).
                                                                                         Figure 6. Sample dimensions of cross-sections of minimum sized
                        2VO                                                                               wire in a 0.18µm technology
     V 2 ( s) 
                   2    R     G                                              (27)
                  s (s  )(s  )                                                            The extracted values for the parameters R, L, C, and G are
                        L     C
                                                                                        given in Table 1. The conductance is a function of frequency,
After taking inverse Laplace transform of equation (27), we
                                                                                        f. The left end of the first line of Figure 2 is excited by 1-V
get,
                                                                                        trapezoidal form voltage with rise/fall times 0.5 ns and a pulse
           2V0 LC L2G2  R2C2 ) 2V0LCt
                  (                        2V L3C    R
                                                     t   2V LC3      G
                                                                      t
                                                                                        width of 1 ns. Other parameters of lumped elements are
V0(t)                                 2 0       e L  2 0        eC     (28)
             (LG  RC)R2G2         RG   R (LG RC)      G ( LG RC)                     R1=R2=50 ohms and C1=C2=1pF. Rs, Ls, and CL are source re-
This is the proposed model for noise voltage induced by the                             sistor, source inductor, load capacitor. The operating fre-
aggressor line onto the victim line.                                                    quency is taken as 2GHz. Figure-7 shows that
                                                                                            the presence of coupling results in the crosstalk positive
Now we will consider two typical cases of frequency of                                  glitch (of magnitude 127mV) generated on the victim line and
operation                                                                               the result is quite comparable to the PSPICE simulation.
CASE -1 (For Very Low Frequency)                                                            TABLE I. RLCG PARAMETERS FOR A MINIMUM- SIZED WIRES IN A 0.18µM
For very low frequency, where R>>ω L, (26) reduces to (29).                                                         TECHNOLOGY.


                                V0
          V2 ( s )         2                                         (29)
                            s cosh RG
After taking inverse Laplace transform of (29), we get,
                        v0
      v2 (t )              tu ( t)
                    cosh RG                                                  (30)                 TABLE II. C OMPARISON OF PROPOSED DELAY WITH SPICE

CASE -2 (For very High Frequency)
 For high frequency, where R<<ω L, (19) reduces to
                             V0
     V2 ( s)           2
                                
                        s cosh s LC                                         (31)

© 2012 ACEEE                                                                        4
DOI: 01.IJIT.02.02. 55
ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012


                                                                                                    REFERENCES
                                                                         [1] O. Wing. On VLSI interconnects, in: Proc. of the China
                                                                         International Conference on Circuits and Systems, Shenzhen, China,
                                                                         pp. 991–996, 1991
                                                                         [2] Gal, L. 1995. On-chip crosstalk-the new signal integrity
                                                                         challenge. IEEE Custom Integrated Circuits Conference. pp. 251-
                                                                         254. 1995.
                                                                         [3] Shien-Yang Wu, Boon-Khim Liew, K.L. Young, C.H.Yu, and
                                                                         S.C. Sun. 1999. Analysis of Interconnect Delay for 0.18µm
                                                                         Technology and Beyond. IEEE International Conference
                                                                         Interconnect Technology. May 1999, pp. 68 – 70.
    From the Figures 8 and 9, we can see that, with the increase         [4] S. Delmas-Bendhia, F. Caignet, E. Sicard. 2000. On Chip
of frequency, crosstalk noise becomes larger and larger, so              Crosstalk Characterization of Deep Submicron Buses. IEEE
does the attenuation of useful signal. At the range of high              International Caracas Conference on Devices, Circuits and Systems,
frequency, oscillation occurs sharply, which is a bit different          2000.
from the transfer function of lumped parameter systems.                  [5] Ravindra, J.V.R., M.B. Srinivas. 2007. Modeling and Analysis
Figure 10 correspond to the waveforms of voltage responses               of Crosstalk for Distributed RLC Interconnects using Difference
at the both ends of victim line.                                         Model Approach. Proceedings of the 20th annual conference on
                                                                         Integrated circuits and systems design. pp: 207 – 211, 2007.
                                                                         [6] Saihua Lin, Huazhong Yang. 2007. A novel ãd/n RLCG
                                                                         transmission line model considering complex RC (L) loads. IEEE
                                                                         Trans. Computer-Aided Design of Integr. Circuits Syst., 26(5):
                                                                         970-977, 2007.
                                                                         [7] J. Zhang and E. G. Friedman. 2004. Effect of Shield Insertion on
                                                                         Reducing Crosstalk Noise between Coupled Interconnects.
                                                                         Proceeding of the IEEE International Symposium on Circuit and
                                                                         Systems. Vol. 2. pp. 529-532. May 2004.
 Figure 9. Frequency Response       Figure 10. Waveform of voltage       [8] Massoud, Y. J. Kawa, D. MacMillen, J. White. 2001. Modeling
    at far end of aggressive line    at both end of victim line          and Analysis of Differential Signaling for Minimizing Inductive
   Table-II shows the comparison of the delay obtained from              Cross-Talk. IEEE/ACM DAC. June 18-22, 2001. Las Vegas .
proposed model to that of from SPICE and the step input                  Nevada. USA.
model [13]. From the result we can see that the error is less            [9] Lee, K., C. Nordquist, and J. Abraham. Test for Crosstalk
                                                                         Effects in VLSI Circuits. IEEE International Symposium on Circuits
than 1%.
                                                                         and Systems. Vol. 4: 628-631. 1996.
                                                                         [10] Paul, Clayton R., Keith W.Whites, Syed A. Nasar. Introduction
                           CONCLUSIONS                                   to Electromagnetic Fields. McGraw Hill 1998.
    In this paper, explicit models have been proposed for                [11] Kuznetsov D.B. and J. E. Schutt-Aine. 1996. Optimum
                                                                         transient simulation of transmission lines. IEEE Transactions on
crosstalk noise and delay in high-speed coupled interconnect
                                                                         Circuits and Systems-I. vol. 43. pp. 110-121. Feb. 1996.
systems. Result shows that, at low frequencies, the model                [12] Gao Y. and D. F. Wong. 1998. Shaping a VLSI wire to minimize
exhibits a RC behaviour but at high frequencies has a                    delay using transmission line model. in Proc. Int. Conf. Computer-
substantially different behaviour due to the effects of                  Aided Design (ICCAD). 1998. pp. 611-616.
inductance. On the basis of Laplace transformation of                    [13] Rajib Kar, V. Maheshwari, Md. Maqbool, A. K. Mal, A. K.
distributed parameter model deduced in time domain, transfer             Bhattacharjee, “A Closed Form Modelling of Cross-talk for
functions of crosstalk noises are built, and crosstalk noise             Distributed RLCG On-Chip Interconnects using Difference Model
response is analyzed theoretically. Simulation results                   Approach”, International Journal on Communication Technology,
demonstrate the validity and correctness of the proposed                 vol. 1, no. 1, pp. 17-22, India, 2010.
method.




© 2012 ACEEE                                                         5
DOI: 01.IJIT.02.02. 55

Mais conteúdo relacionado

Mais procurados

On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...
On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...
On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...IDES Editor
 
A low complex modified golden
A low complex modified goldenA low complex modified golden
A low complex modified goldenIJCNCJournal
 
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...ijistjournal
 
Architecture for reliable service discovery
Architecture for reliable service discoveryArchitecture for reliable service discovery
Architecture for reliable service discoveryambitlick
 
17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)IAESIJEECS
 
An Investigation of DAF Protocol in Wireless Communication
An Investigation of DAF Protocol in Wireless CommunicationAn Investigation of DAF Protocol in Wireless Communication
An Investigation of DAF Protocol in Wireless CommunicationIRJET Journal
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...TELKOMNIKA JOURNAL
 
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECT
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECTPerformance Analysis of AODV and DSDV - FINAL YEAR PROJECT
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECTRavi Teja P
 
Analysis of Residue Number System based PN sequence in AWGN channel
Analysis of Residue Number System based PN sequence in AWGN channelAnalysis of Residue Number System based PN sequence in AWGN channel
Analysis of Residue Number System based PN sequence in AWGN channelijsrd.com
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionijasuc
 
AGPM: An Authenticated Secure Group Communication Protocol for MANETs
AGPM: An Authenticated Secure Group Communication Protocol for MANETsAGPM: An Authenticated Secure Group Communication Protocol for MANETs
AGPM: An Authenticated Secure Group Communication Protocol for MANETsIDES Editor
 
International Journal of Computer Science and Security Volume (2) Issue (4)
International Journal of Computer Science and Security Volume (2) Issue (4)International Journal of Computer Science and Security Volume (2) Issue (4)
International Journal of Computer Science and Security Volume (2) Issue (4)CSCJournals
 

Mais procurados (17)

On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...
On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...
On the Performance Analysis of Multi-antenna Relaying System over Rayleigh Fa...
 
A low complex modified golden
A low complex modified goldenA low complex modified golden
A low complex modified golden
 
Bz25454457
Bz25454457Bz25454457
Bz25454457
 
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...
PERFORMANCE OF WIMAX PHYSICAL LAYER WITH VARIATIONS IN CHANNEL CODING AND DIG...
 
Architecture for reliable service discovery
Architecture for reliable service discoveryArchitecture for reliable service discovery
Architecture for reliable service discovery
 
17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)17 9253 denial of impedance for mobile cellular (edit ari)
17 9253 denial of impedance for mobile cellular (edit ari)
 
An Investigation of DAF Protocol in Wireless Communication
An Investigation of DAF Protocol in Wireless CommunicationAn Investigation of DAF Protocol in Wireless Communication
An Investigation of DAF Protocol in Wireless Communication
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...
Joint Fixed Power Allocation and Partial Relay Selection Schemes for Cooperat...
 
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECT
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECTPerformance Analysis of AODV and DSDV - FINAL YEAR PROJECT
Performance Analysis of AODV and DSDV - FINAL YEAR PROJECT
 
Analysis of Residue Number System based PN sequence in AWGN channel
Analysis of Residue Number System based PN sequence in AWGN channelAnalysis of Residue Number System based PN sequence in AWGN channel
Analysis of Residue Number System based PN sequence in AWGN channel
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmission
 
456 460
456 460456 460
456 460
 
AGPM: An Authenticated Secure Group Communication Protocol for MANETs
AGPM: An Authenticated Secure Group Communication Protocol for MANETsAGPM: An Authenticated Secure Group Communication Protocol for MANETs
AGPM: An Authenticated Secure Group Communication Protocol for MANETs
 
International Journal of Computer Science and Security Volume (2) Issue (4)
International Journal of Computer Science and Security Volume (2) Issue (4)International Journal of Computer Science and Security Volume (2) Issue (4)
International Journal of Computer Science and Security Volume (2) Issue (4)
 
Ax24329333
Ax24329333Ax24329333
Ax24329333
 
Ijcnc050207
Ijcnc050207Ijcnc050207
Ijcnc050207
 

Destaque

On chip crosstalk_avoidance_codec_design_using_fibonacci
On chip crosstalk_avoidance_codec_design_using_fibonacciOn chip crosstalk_avoidance_codec_design_using_fibonacci
On chip crosstalk_avoidance_codec_design_using_fibonaccibharath naidu
 
Vlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şinVlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şinilker Şin
 
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...Mr Santosh Kumar Chhotray
 
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07Crosstalk
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects TitlesE2MATRIX
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsisubhradeep mitra
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDFUR11EC098
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 

Destaque (13)

Chip xtalk ebook_
Chip xtalk ebook_Chip xtalk ebook_
Chip xtalk ebook_
 
On chip crosstalk_avoidance_codec_design_using_fibonacci
On chip crosstalk_avoidance_codec_design_using_fibonacciOn chip crosstalk_avoidance_codec_design_using_fibonacci
On chip crosstalk_avoidance_codec_design_using_fibonacci
 
Vlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şinVlsi cadence tutorial_ahmet_ilker_şin
Vlsi cadence tutorial_ahmet_ilker_şin
 
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...
Crosstalk Aware Bandwidth Modelling for VLSI RC Global Interconnects using 2-...
 
Multipliers in VLSI
Multipliers in VLSIMultipliers in VLSI
Multipliers in VLSI
 
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07
Crosstalk Overview (Austin JavaScript/Node.js Meetup) - 2012-12-07
 
VLSI Projects Titles
VLSI Projects TitlesVLSI Projects Titles
VLSI Projects Titles
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDF
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 

Semelhante a Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects For Ramp Input

Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...IDES Editor
 
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...VLSICS Design
 
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...VLSICS Design
 
Carbon nano tube based delay model for high speed energy efficient on chip da...
Carbon nano tube based delay model for high speed energy efficient on chip da...Carbon nano tube based delay model for high speed energy efficient on chip da...
Carbon nano tube based delay model for high speed energy efficient on chip da...elelijjournal
 
High Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM TechnologyHigh Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM TechnologyIDES Editor
 
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED VLSICS Design
 
Iaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd Iaetsd
 
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...IRJET Journal
 
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...IRJET Journal
 
Lantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noisesandeep patil
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noisesandeep patil
 
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...IDES Editor
 
Performance of symmetric and asymmetric links in wireless networks
Performance of symmetric and asymmetric links in wireless networks Performance of symmetric and asymmetric links in wireless networks
Performance of symmetric and asymmetric links in wireless networks IJECEIAES
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)IJEEE
 

Semelhante a Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects For Ramp Input (20)

Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...
 
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...
 
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN...
 
Carbon nano tube based delay model for high speed energy efficient on chip da...
Carbon nano tube based delay model for high speed energy efficient on chip da...Carbon nano tube based delay model for high speed energy efficient on chip da...
Carbon nano tube based delay model for high speed energy efficient on chip da...
 
High Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM TechnologyHigh Performance Data Bus Encoding Technique in DSM Technology
High Performance Data Bus Encoding Technique in DSM Technology
 
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED
 
Transfer function and Impulse Response Simulation of Power Line Channel
Transfer function and Impulse Response Simulation of Power Line ChannelTransfer function and Impulse Response Simulation of Power Line Channel
Transfer function and Impulse Response Simulation of Power Line Channel
 
Iaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by using
 
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...
IRJET- Bit Error Rate (BER) Performance Evaluation of Reference Channel for P...
 
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
Novel Global Elmore Delay Optimized Model with Improved Elmore Delay Estimati...
 
Lantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific Conferences
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noise
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noise
 
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...
Optimal Transmit Power and Packet Size in Wireless Sensor Networks in Shadowe...
 
Performance of symmetric and asymmetric links in wireless networks
Performance of symmetric and asymmetric links in wireless networks Performance of symmetric and asymmetric links in wireless networks
Performance of symmetric and asymmetric links in wireless networks
 
LNA DESIGN.pptx
LNA DESIGN.pptxLNA DESIGN.pptx
LNA DESIGN.pptx
 
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Id103
Id103Id103
Id103
 
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)
Capacity Improvement of Cellular System Using Fractional Frequency Reuse (FFR)
 

Mais de IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

Mais de IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Último

Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfSeasiaInfotech2
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 

Último (20)

Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
The Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdfThe Future of Software Development - Devin AI Innovative Approach.pdf
The Future of Software Development - Devin AI Innovative Approach.pdf
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 

Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects For Ramp Input

  • 1. ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012 Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects For Ramp Input 1 V. Maheshwari, 2S. Gupta, 3R. Kar, 4D. Mandal, 5A. K. Bhattacharjee 1 Hindustan College of Science and Technology, Mathura, U.P., India 2 Hindustan Institute of Technology and Management, Agra, U.P., India maheshwarivikas1982@gmail.com 3 Department of Electronics and Communication Engineering National Institute of Technology, Durgapur, West Bengal, INDIA rajibkarece@gmail.com Abstract--In order to accurately model high frequency affects, the technology contribute to the increase of crosstalk inductance has been taken into consideration. No longer can problems: the increase of the number of metal layers [4], the interconnects be treated as mere delays or lumped RC networks. increase in the line thickness, the density of integration and In that frequency range, the most accurate simulation model for the reduction of the spacing between lines. This set of new on-chip VLSI interconnects is the distributed RLC model. challenges is referred to as signal integrity in general. Among Unfortunately, this model has many limitations at much higher of operating frequency used in today’s VLSI design. The reduction all these problem, capacitive coupling induced cross talk is in cross-sectional dimension leads to more tightly couple the issue that has been addressed in many literatures. interconnects and therefore, a higher probability of unwanted Crosstalk will occur on the chip, on the PCB board, on the crosstalk interference. This can lead to inaccurate simulations connectors, on the chip package, and on the connector if not modelled properly. At even higher frequency, the aggressor cables. net carries a signal that couples to the victim net through the Furthermore, the technology with multi-conductor parasitic capacitances. To determine the effects that this crosstalk systems, excessive line-to-line coupling, or crosstalk, can will have on circuit operation, the resulting delays and logic cause two detrimental effects. First, crosstalk will change the levels for the victim nets must be computed. This paper proposes performance of the transmission lines in a bus by modifying a difference model approach to derive crosstalk and delay in the transform domain. A closed form solution for crosstalk and delay the effective characteristic impedance and pro patterns, line- is obtained by incorporating initial conditions using difference to-line spacing, and switching rates. In this paper, we have model approach for distributed RLCG interconnects. The proposed a closed form expression for the coupling noise by simulation is performed in 0.18µm technology node and an error analyzing the interconnect using RLCG model for ramp input. of less than 1% has been achieved with the proposed model when The major drawback of the proposal made in [5] is that it does compared with SPICE. not consider the shunt lossy component for estimation of Keywords- Cr osstalk M ode l, Distr ibuted RLCG Seg ment, the coupling noise. The proposed model presented in this Interconnect, No ise, Delay, VLSI paper is a generic one in the sense that the model proposed in [5] can be easily derived by just neglecting the shunt lossy I. INTRODUCTION component term (i.e. G=0). Inductance causes overshoots and undershoots in the The rest of the paper is organized as follows: Section 2 signal waveforms, which can adversely affect the signal discusses the basic theory, transmission line model, crosstalk, integrity. For global wires, inductance effects are more severe glitch and different modes of propagation. Section 3 describes due to the lower resistance of these lines, which makes the the difference model and the proposed method for noise and reactive component of the wire impedance comparable to the delay calculation. Section 4 shows the simulation results. resistive component, and also due to the presence of Finally section 5 concludes the paper. significant mutual inductive coupling between wires resulting from longer current return paths. It is shown that the II. BASIC THEORY conductors of a circuit system should be regarded as A. TRANSMISSION LINE MODEL transmission lines for theoretical analysis and practical design in the recent high-speed integrated circuit technology [1]. Defining the point at which an interconnect may be treated The design techniques in sub-micron technologies as a transmission line and hence, reflection analysis applied, increase the effects of coupling in interconnections [2]. In has no consensus of opinion. A rule of thumb is that when deep sub-micron technology, the order of capacitive coupling the delay from one end to the other is greater than risetime/2, between lines reach to some severe values which signifies the line is considered as electrically long. If the delay is less that onecan’t be indifferent to the ampleness of the noise than risetime/2, the line is electrically short. A transmission due to this coupling. Integrated circuit feature sizes continue line [6] can be described at the circuit level using series to scale well below 0.18 microns, active device counts are inductance and resistance combined with shunt capacitance reaching hundreds of millions [3]. Several factors bound to and conductance.An infinitesimal unit length of the © 2012 ACEEE 1 DOI: 01.IJIT.02.02. 55
  • 2. ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012 transmission line looks like the circuit as shown in Figure 1. In multi-conductor systems, crosstalk can cause two The parameters are defined as follows: R = series resistance detrimental effects: first, crosstalk will change the performance per unit length, L = series inductance per unit length, G = of the transmission lines in a bus by modifying the effective shunt conductance per unit length, C = shunt capacitance characteristic impedance and propagation velocity. Secondly, unit length. crosstalk will induce noise onto other lines, which may further degrade the signal integrity and reduce noise margins. C. GLITCH Crosstalk Glitch (CTG) is a signal provoked by coupling effects among interconnects lines which have unbalanced drivers and loads [9]. The magnitude of the glitch depends on the ratio of coupling capacitance to that of line to ground capacitance. When a transition signal is applied at a line which has a strong line-driver while stable signals are applied Figure 1. RLCG segment of a transmission line at other lines which have weaker drivers, the stable signals It is critical to model the transmission path when designing may experience a coupling noise due to the transition of the a high-performance, high-speed serial interconnect system. stronger signal. A glitch may be induced in connector ‘j’ in The transmission path may include long transmission lines, which the signal is static, due to neighbouring connector connectors, vias and crosstalk from adjacent interconnect. lines in which the signal is varying [10]. This is given by (1). Values for R, L, C, and G are extracted from a given layout, djk j designed in 0.18µm technology. Vglitch    L jk j  k (1) j dt B. CROSS TALK Where Ljk represents mutual inductance between j and kth th Crosstalk is the undesired energy imparted to a transmis- connector. The sign of the coupled voltage is positive or sion line due to signals in adjacent lines. The magnitude of negative depending upon whether the k th neighbouring the crosstalk induced is a function of rise time, signal line connector undergoes a rising or a falling transition. geometry and net configuration (type of terminations, etc.). D. ODD MODE To overcome the problems faced at high frequency of opera- tion, shielding techniques have been employed [7]. A com- When two coupled transmission lines are driven with volt- mon method of shielding is to place ground or power lines at ages of equal magnitude and 180 degree out of phase with the sides of a victim signal line to reduce noise and delay each other, odd mode propagation occurs. The effective ca- uncertainty. pacitance of the transmission line will increase by twice the The crosstalk between two coupled interconnects is of- mutual capacitance, and the equivalent inductance will de- ten neglected when a shield is inserted, significantly under- crease by the mutual inductance. In Figure 2, a typical trans- estimating the coupling noise. The crosstalk noise between mission line model is considered, where the mutual induc- two shielded interconnects can produce a peak noise of 15% tance between aggressor and victim connector is represented of VDD in a 0.18 µm CMOS technology [8]. An accurate esti- as M12. L1 and L2 represent the self inductances of aggressor mate of the peak noise for shielded interconnects is therefore and victim nodes while Cc, C, denote the coupling capaci- crucial for high performance VLSI design. In the complicated tance between aggressor and victim, self capacitance, respec- multilayered interconnect system, signal coupling and delay tively. strongly affect circuit performances. Thus, accurate intercon- Assuming L1 = L2 = L0, the currents will be of equal magni- nect characterization and modelling are essential for today’s tude but flow in opposite direction [10]. Thus, the effective VLSI circuit design. Two major impacts of cross talk are: inductance due to odd mode of propagation is given by (2). (i) Crosstalk induces delays, which change the signal Lodd  L1  L2 (2) propagation time, and thus may lead to setup or hold time The magnetic field pattern of the two conductors in odd- failures. mode is shown in Figure 3. (ii) Crosstalk induces glitches, which may cause voltage spikes on wire, resulting in false logic behaviour. Crosstalk affects mutual inductance as well as inter-wire capacitance. When the connectors in high speed digital designs are considered, the mutual inductance plays a predominant role compared to the inter-wire capacitance. The effect of mutual inductance is significant in deep submicron technology (DSM) technology since the spacing between two adjacent bus lines is very small. The mutual inductance induces a current from an aggressor line onto a victim line which causes Figure 2. Two Coupled Transmission line model crosstalk between connector lines. © 2012 ACEEE 2 DOI: 01.IJIT.02.02. 55
  • 3. ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012 The electrical parameters of each section are R”x, L”x, C”x and G”x respectively, where R, L, C and G are per-unit length resistance, inductance, capacitance and conductance of the line, respectively. Using Kirchoff’s Voltage Law (KVL), we can write, Figure 3. Magnetic Field Figure 4. Magnetic Field in in Odd Mode Even Mode di ( x, t ) v ( x, t )  i ( x, t ) Rx  Lx  v ( x  x , t ) (4) dt E. EVEN MODE Using Kirchoff’s Current Law (KCL), we can write, When two coupled transmission lines are driven with dv ( x   x, t ) voltages of equal magnitude and in phase with each other, i ( x , t )  G x v ( x   x , t )  c x  i ( x  x , t ) (5) dt even mode of propagation occurs. In this case, the effective Simplifying (4) and (5) and after applying Laplace transform, capacitance of the transmission line will decrease by the we get, mutual capacitance and the equivalent inductance will V ( x ) increase by the mutual inductance. Thus, in even-mode   ( R  sL ) I ( x) (6) x propagation, the currents will be of equal magnitude and flow in the same direction [10]. The effective inductance, due I ( x)   (G  sC)V ( x) (7) to even mode of propagation is then given by (3). x Differentiating (6) and (7) with respect to the x, and after III. MODELLINGOF CROSS TALK IN RLCG INTERCONNECT simplifying we get, A. DIFFERENCE MODEL  2V ( x )   2V ( x ) (8) The frequency-domain difference approximation [11] pro- x 2 cedure is more general, because it can directly handle lines and with arbitrary frequency-dependent parameters or lines char-  2 I ( x) acterized by data measured in frequency-domain. The time-   2 I ( x) (9) x 2 domain difference approximation procedure should be em- where P is the propagation constant and is defined as, ployed only if transient characteristics are available. For a single RLCG line, the analytical expressions are obtained for  R  sL G  sC  (10) the transient characteristics and limiting values for all the The general solution of (8) is given by modules of the system and device models. The difference approximation procedure is applied to both the characteristic V ( x )  A1e  x  A2e x (11) admittances and propagation functions and the resultingtime- where A1 and A2 are the constants determined by the domain device models have the same form as the frequency- boundary conditions. From (8-9) and (11) we get, domain models. The difference approximation procedure in- volves an approximation of the dynamic part of the system  transfer function, with the complex rational series or distorted  x   A1e  x  A2 e x  ( R  sL) I ( x) (12) part of the transient characteristic with the real exponential After simplifying we get, series. This criterion results in simple and efficient approxima- 1 tion algorithms, and requires a minimal number of the original- I (x )  Z0  A1 e x  A2 ex  (13) function samples to be available, which is important if the line where Z0 is the characteristic impedance. Assuming at x=d, is characterized for delay and crosstalk. the termination voltage and current are V(d) =V2 and B. ANALYSIS OF CROSSTALK USING DIFFERENCE MODEL I (d) =I2, respectively. We first consider the interconnect system consisting of  d d (14) V2  A1e  A2e single uniform line and ground as shown in Figure 5, and assume the length of the line is d. 1 I2  [ A e d  A2e d ] Z0 1 (15) After solving (14) and (15) for A1 and A2 we get, 1 (16) A1  V2  I 2 Z 0  e d 2 1 A2  V  I Z  e d 2 2 2 0 (17) Substituting these values of A1 and A2 in (11)  V  I 2 Z 0   ( d  x ) V2  I 2 Z 0   ( x d )  (18) V ( x)   2 e  e  Figure 5. Equivalent Circuit of each Uniform Section  2 2  © 2012 ACEEE 3 DOI: 01.IJIT.02.02. 55
  • 4. ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012 Now substituting the values of A1 and A2 from (16) and For calculation of the delay expression we consider the 50% (17) in equation (13) we get, rise time when v0(t)=0.5v0. From (28) we can get the general delay expression. 1 V2  I2Z 0 (dx) V2  I2 Z0 (xd)  2 2 2 2 V0  2V0 LC(L G  R C ) 2V0LCt 2V L C 3 R  t 2V LC 3 G  t I ( x)  e  e (19)    2 0 e L  2 0 e C (34) Z0   2 2   2 ( LG  R C)R 2G 2 RG R ( LG  R C) G ( LG  R C ) After simplification the 50% delay can be derived as, Let at x=0, V(x) =V1 and I(x) =I1 then from (14) and (18), we can write: LC (35) t dela y  V1  cosh(  d )V2  Z 0 sinh( d ) I 2 (20) RG Equations (28) and (35) represent the proposed model for the 1 crosstalk and the delay. I1  sinh(d )V2  cosh( d )I 2 (21) Z0 Since ABCD parameters are defined as IV. EXPERIMENTAL RESULTS V1   A B   V2  The focus of this paper is on the crosstalk and delay I   C D  I  (22) estimation of the circuits consisting of lumped elements. Most  1   2  of the earlier research and reduction techniques consider Hence, ABCD matrix can be written from (20) and (21) as, only capacitive coupling [2, 12]. But in the case of very high  cos h( d )  Z 0 sinh(  d ) frequencies as in GHz scale, inductive crosstalk comes into V 1     V 2 the important role and it should be included for complete     1 (23)  I1   Z sinh(  d )  cosh(  d )   I 2  coupling noise analysis. The configuration of circuit for  0    simulation is shown in Figure 2. The high-speed interconnect The output crosstalk voltage is given by V1 (s ) system consist of two coupled interconnect lines and ground V2 (s )  and the length of the lines is d =10 mm. The sample dimensions cosh(d ) (24) of the cross sections of a minimum sized wire in a 0.18µm For the ramp input voltage we get, technology are given in Figure 6. V V1 ( s)  0 2 (25) s V0 Or , V 2 (s )  s 2 cosh( (R  sL)(G  sC) ) (26) After simplification, (26) reduces to (27). Figure 6. Sample dimensions of cross-sections of minimum sized 2VO wire in a 0.18µm technology V 2 ( s)  2 R G (27) s (s  )(s  ) The extracted values for the parameters R, L, C, and G are L C given in Table 1. The conductance is a function of frequency, After taking inverse Laplace transform of equation (27), we f. The left end of the first line of Figure 2 is excited by 1-V get, trapezoidal form voltage with rise/fall times 0.5 ns and a pulse  2V0 LC L2G2  R2C2 ) 2V0LCt ( 2V L3C R  t 2V LC3 G  t width of 1 ns. Other parameters of lumped elements are V0(t)    2 0 e L  2 0 eC (28) (LG  RC)R2G2 RG R (LG RC) G ( LG RC) R1=R2=50 ohms and C1=C2=1pF. Rs, Ls, and CL are source re- This is the proposed model for noise voltage induced by the sistor, source inductor, load capacitor. The operating fre- aggressor line onto the victim line. quency is taken as 2GHz. Figure-7 shows that the presence of coupling results in the crosstalk positive Now we will consider two typical cases of frequency of glitch (of magnitude 127mV) generated on the victim line and operation the result is quite comparable to the PSPICE simulation. CASE -1 (For Very Low Frequency) TABLE I. RLCG PARAMETERS FOR A MINIMUM- SIZED WIRES IN A 0.18µM For very low frequency, where R>>ω L, (26) reduces to (29). TECHNOLOGY. V0 V2 ( s )  2 (29) s cosh RG After taking inverse Laplace transform of (29), we get, v0 v2 (t )  tu ( t) cosh RG (30) TABLE II. C OMPARISON OF PROPOSED DELAY WITH SPICE CASE -2 (For very High Frequency) For high frequency, where R<<ω L, (19) reduces to V0 V2 ( s)  2  s cosh s LC  (31) © 2012 ACEEE 4 DOI: 01.IJIT.02.02. 55
  • 5. ACEEE Int. J. on Information Technology, Vol. 02, No. 02, April 2012 REFERENCES [1] O. Wing. On VLSI interconnects, in: Proc. of the China International Conference on Circuits and Systems, Shenzhen, China, pp. 991–996, 1991 [2] Gal, L. 1995. On-chip crosstalk-the new signal integrity challenge. IEEE Custom Integrated Circuits Conference. pp. 251- 254. 1995. [3] Shien-Yang Wu, Boon-Khim Liew, K.L. Young, C.H.Yu, and S.C. Sun. 1999. Analysis of Interconnect Delay for 0.18µm Technology and Beyond. IEEE International Conference Interconnect Technology. May 1999, pp. 68 – 70. From the Figures 8 and 9, we can see that, with the increase [4] S. Delmas-Bendhia, F. Caignet, E. Sicard. 2000. On Chip of frequency, crosstalk noise becomes larger and larger, so Crosstalk Characterization of Deep Submicron Buses. IEEE does the attenuation of useful signal. At the range of high International Caracas Conference on Devices, Circuits and Systems, frequency, oscillation occurs sharply, which is a bit different 2000. from the transfer function of lumped parameter systems. [5] Ravindra, J.V.R., M.B. Srinivas. 2007. Modeling and Analysis Figure 10 correspond to the waveforms of voltage responses of Crosstalk for Distributed RLC Interconnects using Difference at the both ends of victim line. Model Approach. Proceedings of the 20th annual conference on Integrated circuits and systems design. pp: 207 – 211, 2007. [6] Saihua Lin, Huazhong Yang. 2007. A novel ãd/n RLCG transmission line model considering complex RC (L) loads. IEEE Trans. Computer-Aided Design of Integr. Circuits Syst., 26(5): 970-977, 2007. [7] J. Zhang and E. G. Friedman. 2004. Effect of Shield Insertion on Reducing Crosstalk Noise between Coupled Interconnects. Proceeding of the IEEE International Symposium on Circuit and Systems. Vol. 2. pp. 529-532. May 2004. Figure 9. Frequency Response Figure 10. Waveform of voltage [8] Massoud, Y. J. Kawa, D. MacMillen, J. White. 2001. Modeling at far end of aggressive line at both end of victim line and Analysis of Differential Signaling for Minimizing Inductive Table-II shows the comparison of the delay obtained from Cross-Talk. IEEE/ACM DAC. June 18-22, 2001. Las Vegas . proposed model to that of from SPICE and the step input Nevada. USA. model [13]. From the result we can see that the error is less [9] Lee, K., C. Nordquist, and J. Abraham. Test for Crosstalk Effects in VLSI Circuits. IEEE International Symposium on Circuits than 1%. and Systems. Vol. 4: 628-631. 1996. [10] Paul, Clayton R., Keith W.Whites, Syed A. Nasar. Introduction CONCLUSIONS to Electromagnetic Fields. McGraw Hill 1998. In this paper, explicit models have been proposed for [11] Kuznetsov D.B. and J. E. Schutt-Aine. 1996. Optimum transient simulation of transmission lines. IEEE Transactions on crosstalk noise and delay in high-speed coupled interconnect Circuits and Systems-I. vol. 43. pp. 110-121. Feb. 1996. systems. Result shows that, at low frequencies, the model [12] Gao Y. and D. F. Wong. 1998. Shaping a VLSI wire to minimize exhibits a RC behaviour but at high frequencies has a delay using transmission line model. in Proc. Int. Conf. Computer- substantially different behaviour due to the effects of Aided Design (ICCAD). 1998. pp. 611-616. inductance. On the basis of Laplace transformation of [13] Rajib Kar, V. Maheshwari, Md. Maqbool, A. K. Mal, A. K. distributed parameter model deduced in time domain, transfer Bhattacharjee, “A Closed Form Modelling of Cross-talk for functions of crosstalk noises are built, and crosstalk noise Distributed RLCG On-Chip Interconnects using Difference Model response is analyzed theoretically. Simulation results Approach”, International Journal on Communication Technology, demonstrate the validity and correctness of the proposed vol. 1, no. 1, pp. 17-22, India, 2010. method. © 2012 ACEEE 5 DOI: 01.IJIT.02.02. 55