SlideShare uma empresa Scribd logo
1 de 19
ET                                          ST=f(ET,QT-ΔT)
                    CIRCUITO
                 COMBINACIONAL
   QT-ΔT                                  QT



                   MEMORIA

                                  Almacena el estado interno del sistema



            DISEÑO DE SISTEMAS SECUENCIALES:
1.- Identificar los distintos estados internos y las transiciones
entre ellos
2.- Diseñar el circuito combinacional para producir las transiciones
internas y las señales de salida deseadas
Máquina (autómata) de estados finitos

Modelo matemático que define el funcionamiento de un sistema
(máquina) secuencial síncrono


      Elementos de una máquina de estados finitos:

             E  entradas
             S  salidas
             Q  estados
             λ  funciones de salida
             δ  funciones de transición entre estados
Autómata de Moore

ET
               QT+ΔT             QT                ST
         δ              MEM               λ
QT


                 CLK

                                      la salida solo depende de las
                                      variables de estado
         ST = λ(QT)
         QT+ΔT = δ(ET, QT)

     LA SALIDA SÓLO CAMBIA EN LOS FLANCOS
         ACTIVOS DE LA SEÑAL DE RELOJ

     Función de salida más sencilla
Autómata de Mealy
ET
                                                     ST
                                            λ

               QT+ΔT            QT
 QT      δ             MEM
                                     la salida depende tanto de las
                                     variables de estado como de
                                     las señales de entrada
                 CLK
                                        ST = λ(ET, QT)
                                        QT+ΔT = δ(ET, QT)

 LA SALIDA PUEDE CAMBIAR EN EL INSTANTE EN
         QUE CAMBIEN LAS ENTRADAS,
  INDEPENDIENTEMENTE DE LA SEÑAL DE RELOJ

Menos estados; menos circuitos de memoria
Descripción de sistemas: diagramas de estado

  Cada estado se representa por un círculo
  Cada transición se representa por flechas entre los estados:
     Moore: la salida está definida únicamente por el estado
     Mealy: la salida está definida por el estado y las entradas



               E                                     E/S


     A/S1           B/S2                     A               B

Transición entre el estado A y el B   Transición entre el estado A y el B
   para un autómata de Moore             para un autómata de Mealy
Ejemplo: Análisis de un sistema secuencial (I)




                                  Función de salida:
E                   S
                                 S(t) = E(t) ⋅ Q1T ⋅ Q2T

               Q1   J1
                                   Funciones de transición:
               Q1   K1            J1T = ET + Q1T ⋅ Q2T
                                 K1T = ET
               Q2   J2           J2T = ET
               Q2   K2           K2T = Q2T



         CLK
Ejemplo: Análisis de un sistema secuencial (II)
Tabla de funcionamiento (tabla de verdad):


    E   Q1T   Q2T   J1   K1   J2   K2   Q1T+ΔT Q2T+ΔT   ST
    0    0    0     1    1    0    0      1      0      0
    0    0    1     1    1    0    1      1      0      0
    0    1    0     1    1    0    0      0      0      0
    0    1    1     1    1    0    1      0      0      0
    1    0    0     0    0    1    0      0      1      0
    1    0    1     1    0    1    1      1      0      0
    1    1    0     0    0    1    0      1      1      1
    1    1    1     0    0    1    1      1      0      0
Ejemplo: Análisis de un sistema secuencial (III)
Tabla de transiciones:




                         estado en el
                          instante T
                                          estado en el
                                        instante T+ΔT    /   salida
Ejemplo: Análisis de un sistema secuencial (IV)

Tabla de estados: “Bautizamos” cada combinación de
                   variables de estado




                                                 0/0
Diagrama de estados:             1/0     A
                                             0/0
                                       0/0              D
               estado
                             B                   1/0

               entrada
                                 X/0         C         1/1
                    salida
Diseño de un sistema secuencial

• Descripción del funcionamiento del sistema
• Tabla y diagrama de estados
• Minimización de estados
   • Moore: mismas salidas y mismo estado
   • Mealy: mismo estado
• Asignación de variables de estado
• Tabla de transiciones
• Tabla de excitación (tabla de verdad)
   • Moore: una para las variables de estado y otra para las
     salidas
   • Mealy: única
Diseño de un sistema secuencial: Ejemplo (I)
• Descripción del funcionamiento del sistema:

   Se tiene una confluencia de dos vías férreas con el mismo
   sentido de circulación. Cada vía está dotada de un
   dispositivo que detecta si hay un vehículo en ella ( A y B)
   y de un semáforo (LA y LB), ambos a cierta distancia del
   punto de unión.
   Cuando llega un vehículo a cualquiera de los detectores A
   o B debe activarse la luz de detención de la otra vía, LB o
   LA respectivamente, con el fin de detener a cualquier
   vehículo que llegue por esa vía hasta que el primero haya
   abandonado su detector.

                    A         LA
            Vía A




            Vía B
                    B         LB
Diseño de un sistema secuencial: Ejemplo (II)

• Diagrama y tabla de estados (Mealy)
    En la máquina de estados de Mealy, las salidas cambian cuando lo hacen
    las entradas

                                01/10
     00/00         10/01                        1: Ningún vehículo
                                                2: Llega vehículo por B
             1                  2               3: Llega vehículo por A
                                        11/01   4: Entró vehículo por A y llega por B
                   00/00
                                                5: Entró vehículo por B y llega por A
 01/10                          10/01
                 00/00

                    01/10               4
             3
    10/01
                 11/10
                            5       11/10


                         11/01
Diseño de un sistema secuencial: Ejemplo (III)

• Diagrama y tabla de estados (Moore)
   En la máquina de estados de Moore, las salidas sólo dependen de las
   variables de estado

                                 01
         00          10                      1: Ningún vehículo
                                             2: Llega vehículo por B
         1/00              2/10              3: Llega vehículo por A
                                        11
                     00                      4: Entró vehículo por A y llega por B
                                             5: Entró vehículo por B y llega por A
   01                           10
              00

                      01              4/10
         3/01

        10
                11        5/01        11


                           11
Diseño de un sistema secuencial: Ejemplo (IV)
• Minimización de estados
   Se pueden fusionar líneas de la tabla de estado que tengan los mismos
   números (estado) en las columnas y que tengan las mismas salidas (Moore)
   o distintas (Mealy)

   Por Moore podemos fusionar los estados 2/4 y el 3/5




    Por Mealy podemos fusionar los estados 1/2/4 y el 3/5

                                                         Asignamos la salida
                                                         correspondiente al
                                                         estado estable
Diseño de un sistema secuencial: Ejemplo (V)

• Asignación de variables de estado
   Codificamos cada estado con las variables de estado necesarias para
   asignar un código diferente a cada estado.


  Por Moore tenemos que diferenciar tres estados: necesitamos dos
  variables de estado.

                                       Podemos asignar valores de
                                       las variables de estado con
                                       cualquier criterio. Aquí
                                       hemos hecho coincidir estos
                                       valores con las salidas


   Por Mealy tenemos que diferenciar dos estados: necesitamos una
   variable de estado.
Diseño de un sistema secuencial: Ejemplo (VI)
• Tabla de transiciones:
     En la tabla de estados simplificada sustituimos cada estado por el valor
     de las variables de estado que le hemos asignado en la codificación

                            Moore




Valor de las
variables de
estado en el
instante T                  Mealy                      Valor de las variables
                                                       de estado en el
                                                       instante T+Δt para una
                                                       entrada determinada
Diseño de un sistema secuencial: Ejemplo (VII)
• Tabla de excitación (tabla de verdad)

   Por Moore tenemos dos tablas de verdad, una para las salidas en
   función exclusivamente de las variables de estado y otra para las
   variables de estado en función del estado anterior y de las entradas
Diseño de un sistema secuencial: Ejemplo (VIII)
• Tabla de excitación (tabla de verdad)

   Por Mealy tenemos una única tabla de verdad

Mais conteúdo relacionado

Mais procurados

Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado Finito
Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado FinitoMaquina de Estado Finito, Circuito Secuenciales y Automatas de Estado Finito
Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado FinitoRosangela Perez
 
Electrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoElectrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoSANTIAGO PABLO ALBERTO
 
Latches y flip flops
Latches y flip flopsLatches y flip flops
Latches y flip flopsJimmy Osores
 
Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555Israel Magaña
 
Resolucion de ecuaciones diferenciales por medio de series
Resolucion de ecuaciones diferenciales por medio de seriesResolucion de ecuaciones diferenciales por medio de series
Resolucion de ecuaciones diferenciales por medio de seriesMateoLeonidez
 
Circuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronosCircuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronosAlexa Ramirez
 
Rectificador de media onda
Rectificador de media ondaRectificador de media onda
Rectificador de media ondaTensor
 
Estabilidad de sistemas discretos
Estabilidad de sistemas discretosEstabilidad de sistemas discretos
Estabilidad de sistemas discretosingangelp
 
4.respuesta de un_circuito_de_segundo_orden
4.respuesta de un_circuito_de_segundo_orden4.respuesta de un_circuito_de_segundo_orden
4.respuesta de un_circuito_de_segundo_ordenmanuel Luna Urquizo
 
Circuitos secuenciales ejemplos
Circuitos secuenciales ejemplosCircuitos secuenciales ejemplos
Circuitos secuenciales ejemplosLuis Sanchez
 
Recortadores Serie Y Paralelo
Recortadores Serie Y ParaleloRecortadores Serie Y Paralelo
Recortadores Serie Y ParaleloUisraelCircuitos
 
Práctica de flip flops
Práctica de flip flopsPráctica de flip flops
Práctica de flip flopskartorrealba
 
El transistor bjt
El transistor bjtEl transistor bjt
El transistor bjtFenix Alome
 
Resistencia estática y dinamica de diodos
Resistencia estática y dinamica de diodosResistencia estática y dinamica de diodos
Resistencia estática y dinamica de diodosDrykers García Say
 
Ecuación Diferencial de un Circuito RLC
Ecuación Diferencial de un Circuito RLCEcuación Diferencial de un Circuito RLC
Ecuación Diferencial de un Circuito RLCSaer C
 

Mais procurados (20)

Practica 7 Flip Flop
Practica 7 Flip FlopPractica 7 Flip Flop
Practica 7 Flip Flop
 
Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado Finito
Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado FinitoMaquina de Estado Finito, Circuito Secuenciales y Automatas de Estado Finito
Maquina de Estado Finito, Circuito Secuenciales y Automatas de Estado Finito
 
Electrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finitoElectrónica digital: tema IV maquinas de estado finito
Electrónica digital: tema IV maquinas de estado finito
 
Latches y flip flops
Latches y flip flopsLatches y flip flops
Latches y flip flops
 
Flip flops sincronos
Flip flops sincronosFlip flops sincronos
Flip flops sincronos
 
Maquinas de estado
Maquinas de estadoMaquinas de estado
Maquinas de estado
 
Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555
 
Resolucion de ecuaciones diferenciales por medio de series
Resolucion de ecuaciones diferenciales por medio de seriesResolucion de ecuaciones diferenciales por medio de series
Resolucion de ecuaciones diferenciales por medio de series
 
Circuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronosCircuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronos
 
Rectificador de media onda
Rectificador de media ondaRectificador de media onda
Rectificador de media onda
 
Estabilidad de sistemas discretos
Estabilidad de sistemas discretosEstabilidad de sistemas discretos
Estabilidad de sistemas discretos
 
Informe 4 digitales
Informe 4 digitalesInforme 4 digitales
Informe 4 digitales
 
4.respuesta de un_circuito_de_segundo_orden
4.respuesta de un_circuito_de_segundo_orden4.respuesta de un_circuito_de_segundo_orden
4.respuesta de un_circuito_de_segundo_orden
 
Circuitos secuenciales ejemplos
Circuitos secuenciales ejemplosCircuitos secuenciales ejemplos
Circuitos secuenciales ejemplos
 
Recortadores Serie Y Paralelo
Recortadores Serie Y ParaleloRecortadores Serie Y Paralelo
Recortadores Serie Y Paralelo
 
Práctica de flip flops
Práctica de flip flopsPráctica de flip flops
Práctica de flip flops
 
El transistor bjt
El transistor bjtEl transistor bjt
El transistor bjt
 
Resistencia estática y dinamica de diodos
Resistencia estática y dinamica de diodosResistencia estática y dinamica de diodos
Resistencia estática y dinamica de diodos
 
Problemas de Regulación Automática
Problemas de Regulación AutomáticaProblemas de Regulación Automática
Problemas de Regulación Automática
 
Ecuación Diferencial de un Circuito RLC
Ecuación Diferencial de un Circuito RLCEcuación Diferencial de un Circuito RLC
Ecuación Diferencial de un Circuito RLC
 

Destaque

⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)Victor Asanza
 
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITOESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITOValentino Crocetta
 
Sesion 9 2 uml transicion estados
Sesion 9 2 uml transicion estadosSesion 9 2 uml transicion estados
Sesion 9 2 uml transicion estadosJulio Pari
 
Seguridad en la ingeniería de software
Seguridad en la ingeniería de software Seguridad en la ingeniería de software
Seguridad en la ingeniería de software kratosVA
 
Semaforo con control automatizado
Semaforo con control automatizadoSemaforo con control automatizado
Semaforo con control automatizadoESS8509
 
S5 -equivalencia_y_minimizacion_af_ds
S5  -equivalencia_y_minimizacion_af_dsS5  -equivalencia_y_minimizacion_af_ds
S5 -equivalencia_y_minimizacion_af_dsdwonga
 
Maquinas automatas.
Maquinas automatas. Maquinas automatas.
Maquinas automatas. yerispinto29
 
Recorridos eulerianos
Recorridos eulerianosRecorridos eulerianos
Recorridos eulerianosmark2207
 
Diapo teoria de grafos
Diapo teoria de grafosDiapo teoria de grafos
Diapo teoria de grafosGera Lopez
 
Teoria de automatas
Teoria de automatasTeoria de automatas
Teoria de automatasequipolf
 
Árboles Binarios y Grafos
Árboles Binarios  y GrafosÁrboles Binarios  y Grafos
Árboles Binarios y GrafosNoel E Jimenez
 

Destaque (20)

Máquinas de Estado
Máquinas de EstadoMáquinas de Estado
Máquinas de Estado
 
Máquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDLMáquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDL
 
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
⭐⭐⭐⭐⭐ Lecciones Simuladas Sistemas Digitales MSS (1er Parcial)
 
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITOESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
ESTRUCTURAS DISCRETAS II MAQUINAS DE ESTADO FINITO
 
Sesion 9 2 uml transicion estados
Sesion 9 2 uml transicion estadosSesion 9 2 uml transicion estados
Sesion 9 2 uml transicion estados
 
Seguridad en la ingeniería de software
Seguridad en la ingeniería de software Seguridad en la ingeniería de software
Seguridad en la ingeniería de software
 
Diseño de maquinas de estado
Diseño de maquinas de estadoDiseño de maquinas de estado
Diseño de maquinas de estado
 
Semaforo con control automatizado
Semaforo con control automatizadoSemaforo con control automatizado
Semaforo con control automatizado
 
S5 -equivalencia_y_minimizacion_af_ds
S5  -equivalencia_y_minimizacion_af_dsS5  -equivalencia_y_minimizacion_af_ds
S5 -equivalencia_y_minimizacion_af_ds
 
Entrega 4 Exposicion final
Entrega 4   Exposicion finalEntrega 4   Exposicion final
Entrega 4 Exposicion final
 
Maquinas automatas.
Maquinas automatas. Maquinas automatas.
Maquinas automatas.
 
Red de Petri
Red de Petri Red de Petri
Red de Petri
 
Recorridos eulerianos
Recorridos eulerianosRecorridos eulerianos
Recorridos eulerianos
 
Grafos
GrafosGrafos
Grafos
 
Diapo teoria de grafos
Diapo teoria de grafosDiapo teoria de grafos
Diapo teoria de grafos
 
Semaforo
SemaforoSemaforo
Semaforo
 
Clase 14a uml_estados
Clase 14a uml_estadosClase 14a uml_estados
Clase 14a uml_estados
 
MUSIM/0 - ENSAMPOCO/0
MUSIM/0 - ENSAMPOCO/0MUSIM/0 - ENSAMPOCO/0
MUSIM/0 - ENSAMPOCO/0
 
Teoria de automatas
Teoria de automatasTeoria de automatas
Teoria de automatas
 
Árboles Binarios y Grafos
Árboles Binarios  y GrafosÁrboles Binarios  y Grafos
Árboles Binarios y Grafos
 

Semelhante a Diseño de sistemas secuenciales mediante máquinas de estados finitos

14531428 secuenciales-asincronos
14531428 secuenciales-asincronos14531428 secuenciales-asincronos
14531428 secuenciales-asincronosAzariel Haiayel
 
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosElectrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosSANTIAGO PABLO ALBERTO
 
Circuito secuencial sincronico sd ii
Circuito secuencial sincronico sd iiCircuito secuencial sincronico sd ii
Circuito secuencial sincronico sd iiVelmuz Buzz
 
Representecion-EspectraDAxz<x<zx<zxz<l.pptx
Representecion-EspectraDAxz<x<zx<zxz<l.pptxRepresentecion-EspectraDAxz<x<zx<zxz<l.pptx
Representecion-EspectraDAxz<x<zx<zxz<l.pptxSANTOS400018
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales SANTIAGO PABLO ALBERTO
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2haibsel
 
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...SANTIAGO PABLO ALBERTO
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales SANTIAGO PABLO ALBERTO
 
Electrónica digital: Tema 6.circuitos secuenciales síncronos
Electrónica digital: Tema 6.circuitos secuenciales síncronosElectrónica digital: Tema 6.circuitos secuenciales síncronos
Electrónica digital: Tema 6.circuitos secuenciales síncronosSANTIAGO PABLO ALBERTO
 
Aplicación de la transformada de la Laplace
Aplicación de la transformada de la LaplaceAplicación de la transformada de la Laplace
Aplicación de la transformada de la Laplacekmjrl_unefa
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DCristian Rodriguez
 
texto educativo microelectronica capitulo 4.pdf
texto educativo microelectronica capitulo 4.pdftexto educativo microelectronica capitulo 4.pdf
texto educativo microelectronica capitulo 4.pdfCarlosApolinario8
 
Electrónica digital: diseño sistema secuencial síncrono
Electrónica digital: diseño sistema secuencial síncrono Electrónica digital: diseño sistema secuencial síncrono
Electrónica digital: diseño sistema secuencial síncrono SANTIAGO PABLO ALBERTO
 

Semelhante a Diseño de sistemas secuenciales mediante máquinas de estados finitos (20)

14531428 secuenciales-asincronos
14531428 secuenciales-asincronos14531428 secuenciales-asincronos
14531428 secuenciales-asincronos
 
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronosElectrónica digital: Tema 7 Sistemas secuenciales síncronos
Electrónica digital: Tema 7 Sistemas secuenciales síncronos
 
Td apunte8
Td apunte8Td apunte8
Td apunte8
 
Circuito secuencial sincronico sd ii
Circuito secuencial sincronico sd iiCircuito secuencial sincronico sd ii
Circuito secuencial sincronico sd ii
 
Representecion-EspectraDAxz<x<zx<zxz<l.pptx
Representecion-EspectraDAxz<x<zx<zxz<l.pptxRepresentecion-EspectraDAxz<x<zx<zxz<l.pptx
Representecion-EspectraDAxz<x<zx<zxz<l.pptx
 
Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales Tema 5 de Electrónica digital: Circuitos secuenciales
Tema 5 de Electrónica digital: Circuitos secuenciales
 
Unidad1 sd2
Unidad1 sd2Unidad1 sd2
Unidad1 sd2
 
Tema4 lógica secuencial
Tema4 lógica secuencialTema4 lógica secuencial
Tema4 lógica secuencial
 
Circuitos
CircuitosCircuitos
Circuitos
 
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...
Electrónica digital: Síntesis de circuitos secuenciales síncronos: Maquinas d...
 
Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales Electrónica digital: Análisis de diseño de circuitos secuenciales
Electrónica digital: Análisis de diseño de circuitos secuenciales
 
Electrónica digital: Tema 6.circuitos secuenciales síncronos
Electrónica digital: Tema 6.circuitos secuenciales síncronosElectrónica digital: Tema 6.circuitos secuenciales síncronos
Electrónica digital: Tema 6.circuitos secuenciales síncronos
 
16182848-Clase4.ppt
16182848-Clase4.ppt16182848-Clase4.ppt
16182848-Clase4.ppt
 
Matematica discreta
Matematica discreta Matematica discreta
Matematica discreta
 
Aplicación de la transformada de la Laplace
Aplicación de la transformada de la LaplaceAplicación de la transformada de la Laplace
Aplicación de la transformada de la Laplace
 
flip flop.pdf
flip flop.pdfflip flop.pdf
flip flop.pdf
 
Contador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo DContador de 0 a 7 flip flop tipo D
Contador de 0 a 7 flip flop tipo D
 
texto educativo microelectronica capitulo 4.pdf
texto educativo microelectronica capitulo 4.pdftexto educativo microelectronica capitulo 4.pdf
texto educativo microelectronica capitulo 4.pdf
 
Electrónica digital: diseño sistema secuencial síncrono
Electrónica digital: diseño sistema secuencial síncrono Electrónica digital: diseño sistema secuencial síncrono
Electrónica digital: diseño sistema secuencial síncrono
 
Compuertas Lógicas
Compuertas LógicasCompuertas Lógicas
Compuertas Lógicas
 

Mais de Rosangela Perez

Mais de Rosangela Perez (9)

Sistema Educativo Bolivariano
Sistema Educativo BolivarianoSistema Educativo Bolivariano
Sistema Educativo Bolivariano
 
Sistema Educativo Bolivariano
Sistema Educativo BolivarianoSistema Educativo Bolivariano
Sistema Educativo Bolivariano
 
Johana
JohanaJohana
Johana
 
Johana
JohanaJohana
Johana
 
Johana
JohanaJohana
Johana
 
Sistema de archivos
Sistema de archivosSistema de archivos
Sistema de archivos
 
Directorios
DirectoriosDirectorios
Directorios
 
Descriptor de archivos
Descriptor de archivosDescriptor de archivos
Descriptor de archivos
 
Presentación1 programacion2
Presentación1 programacion2Presentación1 programacion2
Presentación1 programacion2
 

Diseño de sistemas secuenciales mediante máquinas de estados finitos

  • 1.
  • 2. ET ST=f(ET,QT-ΔT) CIRCUITO COMBINACIONAL QT-ΔT QT MEMORIA Almacena el estado interno del sistema DISEÑO DE SISTEMAS SECUENCIALES: 1.- Identificar los distintos estados internos y las transiciones entre ellos 2.- Diseñar el circuito combinacional para producir las transiciones internas y las señales de salida deseadas
  • 3. Máquina (autómata) de estados finitos Modelo matemático que define el funcionamiento de un sistema (máquina) secuencial síncrono Elementos de una máquina de estados finitos: E  entradas S  salidas Q  estados λ  funciones de salida δ  funciones de transición entre estados
  • 4. Autómata de Moore ET QT+ΔT QT ST δ MEM λ QT CLK la salida solo depende de las variables de estado ST = λ(QT) QT+ΔT = δ(ET, QT) LA SALIDA SÓLO CAMBIA EN LOS FLANCOS ACTIVOS DE LA SEÑAL DE RELOJ Función de salida más sencilla
  • 5. Autómata de Mealy ET ST λ QT+ΔT QT QT δ MEM la salida depende tanto de las variables de estado como de las señales de entrada CLK ST = λ(ET, QT) QT+ΔT = δ(ET, QT) LA SALIDA PUEDE CAMBIAR EN EL INSTANTE EN QUE CAMBIEN LAS ENTRADAS, INDEPENDIENTEMENTE DE LA SEÑAL DE RELOJ Menos estados; menos circuitos de memoria
  • 6. Descripción de sistemas: diagramas de estado Cada estado se representa por un círculo Cada transición se representa por flechas entre los estados: Moore: la salida está definida únicamente por el estado Mealy: la salida está definida por el estado y las entradas E E/S A/S1 B/S2 A B Transición entre el estado A y el B Transición entre el estado A y el B para un autómata de Moore para un autómata de Mealy
  • 7. Ejemplo: Análisis de un sistema secuencial (I) Función de salida: E S S(t) = E(t) ⋅ Q1T ⋅ Q2T Q1 J1 Funciones de transición: Q1 K1 J1T = ET + Q1T ⋅ Q2T K1T = ET Q2 J2 J2T = ET Q2 K2 K2T = Q2T CLK
  • 8. Ejemplo: Análisis de un sistema secuencial (II) Tabla de funcionamiento (tabla de verdad): E Q1T Q2T J1 K1 J2 K2 Q1T+ΔT Q2T+ΔT ST 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 0 1 1 0 0 0 1 0 1 1 0 0 0 0 0 0 1 1 1 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 0 0 1 0 1 1 1 1 1 1 0 0 1 1 1 0 0
  • 9. Ejemplo: Análisis de un sistema secuencial (III) Tabla de transiciones: estado en el instante T estado en el instante T+ΔT / salida
  • 10. Ejemplo: Análisis de un sistema secuencial (IV) Tabla de estados: “Bautizamos” cada combinación de variables de estado 0/0 Diagrama de estados: 1/0 A 0/0 0/0 D estado B 1/0 entrada X/0 C 1/1 salida
  • 11. Diseño de un sistema secuencial • Descripción del funcionamiento del sistema • Tabla y diagrama de estados • Minimización de estados • Moore: mismas salidas y mismo estado • Mealy: mismo estado • Asignación de variables de estado • Tabla de transiciones • Tabla de excitación (tabla de verdad) • Moore: una para las variables de estado y otra para las salidas • Mealy: única
  • 12. Diseño de un sistema secuencial: Ejemplo (I) • Descripción del funcionamiento del sistema: Se tiene una confluencia de dos vías férreas con el mismo sentido de circulación. Cada vía está dotada de un dispositivo que detecta si hay un vehículo en ella ( A y B) y de un semáforo (LA y LB), ambos a cierta distancia del punto de unión. Cuando llega un vehículo a cualquiera de los detectores A o B debe activarse la luz de detención de la otra vía, LB o LA respectivamente, con el fin de detener a cualquier vehículo que llegue por esa vía hasta que el primero haya abandonado su detector. A LA Vía A Vía B B LB
  • 13. Diseño de un sistema secuencial: Ejemplo (II) • Diagrama y tabla de estados (Mealy) En la máquina de estados de Mealy, las salidas cambian cuando lo hacen las entradas 01/10 00/00 10/01 1: Ningún vehículo 2: Llega vehículo por B 1 2 3: Llega vehículo por A 11/01 4: Entró vehículo por A y llega por B 00/00 5: Entró vehículo por B y llega por A 01/10 10/01 00/00 01/10 4 3 10/01 11/10 5 11/10 11/01
  • 14. Diseño de un sistema secuencial: Ejemplo (III) • Diagrama y tabla de estados (Moore) En la máquina de estados de Moore, las salidas sólo dependen de las variables de estado 01 00 10 1: Ningún vehículo 2: Llega vehículo por B 1/00 2/10 3: Llega vehículo por A 11 00 4: Entró vehículo por A y llega por B 5: Entró vehículo por B y llega por A 01 10 00 01 4/10 3/01 10 11 5/01 11 11
  • 15. Diseño de un sistema secuencial: Ejemplo (IV) • Minimización de estados Se pueden fusionar líneas de la tabla de estado que tengan los mismos números (estado) en las columnas y que tengan las mismas salidas (Moore) o distintas (Mealy) Por Moore podemos fusionar los estados 2/4 y el 3/5 Por Mealy podemos fusionar los estados 1/2/4 y el 3/5 Asignamos la salida correspondiente al estado estable
  • 16. Diseño de un sistema secuencial: Ejemplo (V) • Asignación de variables de estado Codificamos cada estado con las variables de estado necesarias para asignar un código diferente a cada estado. Por Moore tenemos que diferenciar tres estados: necesitamos dos variables de estado. Podemos asignar valores de las variables de estado con cualquier criterio. Aquí hemos hecho coincidir estos valores con las salidas Por Mealy tenemos que diferenciar dos estados: necesitamos una variable de estado.
  • 17. Diseño de un sistema secuencial: Ejemplo (VI) • Tabla de transiciones: En la tabla de estados simplificada sustituimos cada estado por el valor de las variables de estado que le hemos asignado en la codificación Moore Valor de las variables de estado en el instante T Mealy Valor de las variables de estado en el instante T+Δt para una entrada determinada
  • 18. Diseño de un sistema secuencial: Ejemplo (VII) • Tabla de excitación (tabla de verdad) Por Moore tenemos dos tablas de verdad, una para las salidas en función exclusivamente de las variables de estado y otra para las variables de estado en función del estado anterior y de las entradas
  • 19. Diseño de un sistema secuencial: Ejemplo (VIII) • Tabla de excitación (tabla de verdad) Por Mealy tenemos una única tabla de verdad