SlideShare uma empresa Scribd logo
1 de 41
FPGAs libres
Juan González Gómez (Obijuan)
Maker Faire Bilbao
18 de Noviembre de 2016 https://github.com/Obijuan/myslides
https://github.com/Obijuan
Sobre mi
● Doctor Ingeniero de I+D
● Apasionado tecnologías libres
● Actualmente: Fablab manager en el
Tecnolab de La Rueca Asociación
PARTE I: Circuitos digitales y
FPGAs
PARTE II: Herramientas libres
PARTE III: ¿Cómo empiezo?
FPGAs Libres: Contenido
PARTE I:
Circuitos digitales y FPGAs
Nuevas tecnologías:
Mundo de cajas negras
Patrimonio Tecnológico de la humanidad
Software
Libre
Hardware
Libre
Reprap
Pasemos al siguiente nivel:
Chips digitales
Viaje al interior de los chips digitales
● Nivel de electrónica digital
● Información: Sólo 1s y 0s (Bits)
● Función: Manipular, almacenar y transportar bits
Elementos en circuitos digitales
Cualquier circuito digital, por muy complejo que sea,
se descompone en estos 3 tipos de componentes
elementales
La electrónica digital es intuitiva y…
¡Divertida!
APP:
Circuit
Scramble
FPGAs: Implementando circuitos
digitales
FPGA: Chip “en blanco” que contiene una matriz con los 3 componentes
básicos: puertas lógicas, biestables y cables
Circuito 1 Circuito 2
Configuración
¡FPGAs = Impresoras 3D de circuitos digitales!
El hardware es software
● El hardware libre es igual al software libre
● Muy fácil de compartir
● Telecopias del hardware
● Desarrollo de hardware en comunidad
HDL
PARTE II:
HERRAMIENTAS LIBRES
FPGAs: Sólo personal autorizado
FPGAs libres: El renacimiento
● Proyecto Icestorm (Mayo, 2015)
● La primera toolchain que permiten pasar de Verilog al bitstream
usando sólo Herramientas libres
FPGAs libres
● Familia Lattice iCE40
● Sólo Lenguaje Verilog
Denominamos FPGAs libres a aquellas FPGAs que disponen de una
toolchain totalmente libre
● Definición:
● FPGAs libres actualmente:
http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
Flujo de trabajo
Diseño Ficheros HDL
Bitstream
Síntesis
Configuración
¡Usando sólo herramientas libres!
Pila de herramientas libres
https://github.com/FPGAwars/icestudio
● Autor: Jesús Arroyo
● Electrónica digital para todos
● Sin conocimientos de verilog
● Herramienta visual
● Traduce a verilog
Demo
Rasty
● FPGA Fun!
● 8 Alhambra-leds
● Alimentación: power bank
Sonidos simples con Zumbador
● Conexión a una placa con
Zumbador
● Melodías básica
● ¡Fácil meter varios canales!
Larby: Robot modular
● Servos conectados directamente a
Icezum Alhambra
● Configuración mínima pitch-pitch
● Módulo impresos en 3D
Apio-ide https://github.com/FPGAwars/apio-ide
● Autores: Jesus Arroyo/Obijuan
● Plug-in para Atom
● No línea de comandos
● Llama a apio
● Aplicable a otros IDEs/editores
● Descripción en VerilogDemo
PARTE III:
¿Cómo empiezo?
Paso 1: Consigue una placa
Con FPGA libre
Icestick
Go-board
● Conexión directa al PC (USB)
● Soportadas por Apio/Icestudio
iCE40-HX8K Breakout Board
icoboard
● Conexión a Raspberry PI
● Soportada por Apio/Icestudio
NO Soportadas por Apio/Icestudio
Mystorm iCE40HX1K-EVB
Icezum Alhambra v1.1
● Autor: Eladio Delgado
● Diseñada en Pinos del Valle
(Granada)
● Arduino de las FPGAs
● Compatible Arduino
● Fácil conexión de circuitos
externos/sensores/servos
● Reutilización de los shields de
arduino
● 20 entradas/salidas de 5v
● 3A corriente de entrada
● Perfecta para hacer robots
https://github.com/FPGAwars/icezum/wiki
Icezum Alhambra v1.1
8 leds de pruebas Pulsadores
de prueba
Conector
micro-usb
Alimentación
6-17v 3A
Interruptor
On/off
Pines io 3v3
Conexión directa de
Sensores / actuadores
4 entradas
analógicas i2c
Pulsador de
reset
Icezum Alhambra peregrina
● A.k.a CalmaSAV
● Empieza:
Rinconingenieril.es
● Ámbito: Toda España
● Coordinado desde la lista
de FPGAwars
Paso 2: Instálate Icestudio/Apio
https://github.com/FPGAwars/icestudio https://github.com/FPGAwars/apio
Tutorial: Diseño Digital para FPGAs, con herramientas libres
Paso 3: Aprende diseño digital y Verilog
https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki
● Hace 1 año (obsoleto)
● Herramientas de bajo nivel (make)
● Tarjeta icestick
● Útil mientras hago uno más actualizado :-)
Paso 4: Apúntate a la comunidad
FPGAwars
● Comunidad para compartir conocimiento
relacionado con FPGAs libres
● Es el clonewars de las FPGAs, pero en
modesto :-)
● Idioma: Castellano
● 226 miembros
● Cualquier pregunta / comentario /
sugerencia → Correo a la lista :-)
http://fpgawars.github.io/
Paso 5: Haz tus proyectos con
FPGAs libres
https://github.com/Obijuan/ACC/wikihttps://github.com/Obijuan/simplez-fpga/wiki/Procesador-SIMPLEZ-F
Paso 6: ¡Comparte con la comunidad!
FPGAs en Patrimonio Tecnológico de la humanidad
Software
Libre
Hardware
Libre
Reprap
FPG
As
libres
O
tros
¡Que las FPGAs libres os
acompañen!
¡Muchas gracias financiadores! :-)
FPGAs libres
Juan González Gómez (Obijuan)
Maker Faire Bilbao
18 de Noviembre de 2016 https://github.com/Obijuan/myslides
https://github.com/Obijuan

Mais conteúdo relacionado

Mais procurados

Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPoint
cristianperea
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
Moises Nuñez Silva
 

Mais procurados (19)

2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
2017 05-20-murcia-meetup-day-elec-dig-para-todos-con-fpg as-libres
 
Targetas arduinos
Targetas arduinosTargetas arduinos
Targetas arduinos
 
Arduino
ArduinoArduino
Arduino
 
Arduino iti-2016
Arduino iti-2016Arduino iti-2016
Arduino iti-2016
 
Arduino práctico comunicaciones - serie
Arduino práctico   comunicaciones - serieArduino práctico   comunicaciones - serie
Arduino práctico comunicaciones - serie
 
Arduino
ArduinoArduino
Arduino
 
Tarjetas arduino
Tarjetas arduinoTarjetas arduino
Tarjetas arduino
 
Tarjetas arduino
Tarjetas arduinoTarjetas arduino
Tarjetas arduino
 
Presentacion Arduino PowerPoint
Presentacion Arduino PowerPointPresentacion Arduino PowerPoint
Presentacion Arduino PowerPoint
 
20170223 buenostiempos fpga
20170223 buenostiempos fpga20170223 buenostiempos fpga
20170223 buenostiempos fpga
 
Arduino
ArduinoArduino
Arduino
 
Tarjetas arduino
Tarjetas arduinoTarjetas arduino
Tarjetas arduino
 
Open hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con ArduinoOpen hardware - Primeros pasos con Arduino
Open hardware - Primeros pasos con Arduino
 
Tarjetas arduino 1
Tarjetas arduino 1Tarjetas arduino 1
Tarjetas arduino 1
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
 
Tarjetas arduino 3
Tarjetas arduino 3Tarjetas arduino 3
Tarjetas arduino 3
 
Taller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises GascónTaller Internet de las Cosas, por Ulises Gascón
Taller Internet de las Cosas, por Ulises Gascón
 
Seminario de Arduino
Seminario de ArduinoSeminario de Arduino
Seminario de Arduino
 
Arduino sin cables: usando Bluetooth
Arduino sin cables: usando BluetoothArduino sin cables: usando Bluetooth
Arduino sin cables: usando Bluetooth
 

Destaque

The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
Mohamed Youssery
 
Introduction to arduino
Introduction to arduinoIntroduction to arduino
Introduction to arduino
Ahmed Sakr
 

Destaque (7)

The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
 
FPGAs : An Overview
FPGAs : An OverviewFPGAs : An Overview
FPGAs : An Overview
 
FPGA
FPGAFPGA
FPGA
 
Arduino Development For Beginners
Arduino Development For BeginnersArduino Development For Beginners
Arduino Development For Beginners
 
Hardware Developers Didactic Galactic 0xb: Capacitors
Hardware Developers Didactic Galactic 0xb: CapacitorsHardware Developers Didactic Galactic 0xb: Capacitors
Hardware Developers Didactic Galactic 0xb: Capacitors
 
Introduction to arduino
Introduction to arduinoIntroduction to arduino
Introduction to arduino
 
Introduction to Arduino Programming
Introduction to Arduino ProgrammingIntroduction to Arduino Programming
Introduction to Arduino Programming
 

Semelhante a 2016 11-18-maker-faire-bilbao-fpgas-libres

Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino
3D Print Barcelona
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
Moises Nuñez Silva
 

Semelhante a 2016 11-18-maker-faire-bilbao-fpgas-libres (20)

2016 12-10-ourense-makerslab-fpgas-libres
2016 12-10-ourense-makerslab-fpgas-libres2016 12-10-ourense-makerslab-fpgas-libres
2016 12-10-ourense-makerslab-fpgas-libres
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 
Charla
CharlaCharla
Charla
 
Electrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libresElectrónica digital para todos con FPGAs libres
Electrónica digital para todos con FPGAs libres
 
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017Electrónica digital divertica con FPGAs Libres. Malakabot 2017
Electrónica digital divertica con FPGAs Libres. Malakabot 2017
 
Charla introducción a RaspberryPI
Charla introducción a RaspberryPICharla introducción a RaspberryPI
Charla introducción a RaspberryPI
 
Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015Robotica Educativa CEP Granada 2015
Robotica Educativa CEP Granada 2015
 
Curso de introducción a arduino
Curso de introducción a arduinoCurso de introducción a arduino
Curso de introducción a arduino
 
Diferentes tipos de arduino
Diferentes tipos de arduinoDiferentes tipos de arduino
Diferentes tipos de arduino
 
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
2017 05-05-peac-elec-dig-divertida-con-fpg as-libres
 
Sustentacion de arduino
Sustentacion de arduinoSustentacion de arduino
Sustentacion de arduino
 
Tarjeta arduino
Tarjeta arduinoTarjeta arduino
Tarjeta arduino
 
Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014Curso intensivo de arduino createc3 de mayo 2014
Curso intensivo de arduino createc3 de mayo 2014
 
Introduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salidaIntroduccion a arduino circuitos basicos de entrada y salida
Introduccion a arduino circuitos basicos de entrada y salida
 
Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014Curso intensivo de arduino createc3 d marzo 2014
Curso intensivo de arduino createc3 d marzo 2014
 
Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2Arduino UNO vs Launchpad MSP430G2
Arduino UNO vs Launchpad MSP430G2
 
GUIA BASICA ARDUINO
GUIA BASICA ARDUINOGUIA BASICA ARDUINO
GUIA BASICA ARDUINO
 
Arduino's
Arduino'sArduino's
Arduino's
 
Curso Arduino práctico 2014
Curso Arduino práctico  2014Curso Arduino práctico  2014
Curso Arduino práctico 2014
 
La electricidad y la electronica tarjeta arduino.
 La electricidad y la electronica  tarjeta arduino. La electricidad y la electronica  tarjeta arduino.
La electricidad y la electronica tarjeta arduino.
 

Mais de obijuan_cube

Mais de obijuan_cube (10)

Nosotros compartimos
Nosotros compartimosNosotros compartimos
Nosotros compartimos
 
Let's build modular robots!
Let's build modular robots!Let's build modular robots!
Let's build modular robots!
 
PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012PrintBots: Robots libres e imprimibles. Málaga 2012
PrintBots: Robots libres e imprimibles. Málaga 2012
 
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evoluciónIMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
IMPRESORAS 3D OPEN-SOURCE: Orígenes y evolución
 
PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012PrintBots: Robots libres e imprimibles. Cybertech 2012
PrintBots: Robots libres e imprimibles. Cybertech 2012
 
Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012Printbots: Robots libres e imprimibles. Madridbot-2012
Printbots: Robots libres e imprimibles. Madridbot-2012
 
PrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimiblesPrintBots: Robots libres e imprimibles
PrintBots: Robots libres e imprimibles
 
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
Motion Control of Differential Wheeled Robots with Joint Limit Constraints (S...
 
Latinoware: Robots libres e Imprimibles
Latinoware: Robots libres e ImprimiblesLatinoware: Robots libres e Imprimibles
Latinoware: Robots libres e Imprimibles
 
Robots libres e Imprimibles
Robots libres e ImprimiblesRobots libres e Imprimibles
Robots libres e Imprimibles
 

Último

Modulo-Mini Cargador.................pdf
Modulo-Mini Cargador.................pdfModulo-Mini Cargador.................pdf
Modulo-Mini Cargador.................pdf
AnnimoUno1
 
EPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial UninoveEPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial Uninove
FagnerLisboa3
 

Último (11)

How to use Redis with MuleSoft. A quick start presentation.
How to use Redis with MuleSoft. A quick start presentation.How to use Redis with MuleSoft. A quick start presentation.
How to use Redis with MuleSoft. A quick start presentation.
 
Modulo-Mini Cargador.................pdf
Modulo-Mini Cargador.................pdfModulo-Mini Cargador.................pdf
Modulo-Mini Cargador.................pdf
 
PROYECTO FINAL. Tutorial para publicar en SlideShare.pptx
PROYECTO FINAL. Tutorial para publicar en SlideShare.pptxPROYECTO FINAL. Tutorial para publicar en SlideShare.pptx
PROYECTO FINAL. Tutorial para publicar en SlideShare.pptx
 
Avances tecnológicos del siglo XXI 10-07 eyvana
Avances tecnológicos del siglo XXI 10-07 eyvanaAvances tecnológicos del siglo XXI 10-07 eyvana
Avances tecnológicos del siglo XXI 10-07 eyvana
 
EL CICLO PRÁCTICO DE UN MOTOR DE CUATRO TIEMPOS.pptx
EL CICLO PRÁCTICO DE UN MOTOR DE CUATRO TIEMPOS.pptxEL CICLO PRÁCTICO DE UN MOTOR DE CUATRO TIEMPOS.pptx
EL CICLO PRÁCTICO DE UN MOTOR DE CUATRO TIEMPOS.pptx
 
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
Resistencia extrema al cobre por un consorcio bacteriano conformado por Sulfo...
 
pruebas unitarias unitarias en java con JUNIT
pruebas unitarias unitarias en java con JUNITpruebas unitarias unitarias en java con JUNIT
pruebas unitarias unitarias en java con JUNIT
 
Refrigerador_Inverter_Samsung_Curso_y_Manual_de_Servicio_Español.pdf
Refrigerador_Inverter_Samsung_Curso_y_Manual_de_Servicio_Español.pdfRefrigerador_Inverter_Samsung_Curso_y_Manual_de_Servicio_Español.pdf
Refrigerador_Inverter_Samsung_Curso_y_Manual_de_Servicio_Español.pdf
 
Innovaciones tecnologicas en el siglo 21
Innovaciones tecnologicas en el siglo 21Innovaciones tecnologicas en el siglo 21
Innovaciones tecnologicas en el siglo 21
 
Avances tecnológicos del siglo XXI y ejemplos de estos
Avances tecnológicos del siglo XXI y ejemplos de estosAvances tecnológicos del siglo XXI y ejemplos de estos
Avances tecnológicos del siglo XXI y ejemplos de estos
 
EPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial UninoveEPA-pdf resultado da prova presencial Uninove
EPA-pdf resultado da prova presencial Uninove
 

2016 11-18-maker-faire-bilbao-fpgas-libres

  • 1. FPGAs libres Juan González Gómez (Obijuan) Maker Faire Bilbao 18 de Noviembre de 2016 https://github.com/Obijuan/myslides https://github.com/Obijuan
  • 2. Sobre mi ● Doctor Ingeniero de I+D ● Apasionado tecnologías libres ● Actualmente: Fablab manager en el Tecnolab de La Rueca Asociación
  • 3. PARTE I: Circuitos digitales y FPGAs PARTE II: Herramientas libres PARTE III: ¿Cómo empiezo? FPGAs Libres: Contenido
  • 6. Patrimonio Tecnológico de la humanidad Software Libre Hardware Libre Reprap
  • 7. Pasemos al siguiente nivel: Chips digitales
  • 8. Viaje al interior de los chips digitales ● Nivel de electrónica digital ● Información: Sólo 1s y 0s (Bits) ● Función: Manipular, almacenar y transportar bits
  • 9. Elementos en circuitos digitales Cualquier circuito digital, por muy complejo que sea, se descompone en estos 3 tipos de componentes elementales
  • 10. La electrónica digital es intuitiva y… ¡Divertida! APP: Circuit Scramble
  • 11. FPGAs: Implementando circuitos digitales FPGA: Chip “en blanco” que contiene una matriz con los 3 componentes básicos: puertas lógicas, biestables y cables
  • 12. Circuito 1 Circuito 2 Configuración
  • 13. ¡FPGAs = Impresoras 3D de circuitos digitales!
  • 14. El hardware es software ● El hardware libre es igual al software libre ● Muy fácil de compartir ● Telecopias del hardware ● Desarrollo de hardware en comunidad HDL
  • 16. FPGAs: Sólo personal autorizado
  • 17. FPGAs libres: El renacimiento ● Proyecto Icestorm (Mayo, 2015) ● La primera toolchain que permiten pasar de Verilog al bitstream usando sólo Herramientas libres
  • 18. FPGAs libres ● Familia Lattice iCE40 ● Sólo Lenguaje Verilog Denominamos FPGAs libres a aquellas FPGAs que disponen de una toolchain totalmente libre ● Definición: ● FPGAs libres actualmente: http://www.latticesemi.com/Products/FPGAandCPLD/iCE40.aspx
  • 19. Flujo de trabajo Diseño Ficheros HDL Bitstream Síntesis Configuración
  • 22. https://github.com/FPGAwars/icestudio ● Autor: Jesús Arroyo ● Electrónica digital para todos ● Sin conocimientos de verilog ● Herramienta visual ● Traduce a verilog Demo
  • 23. Rasty ● FPGA Fun! ● 8 Alhambra-leds ● Alimentación: power bank
  • 24. Sonidos simples con Zumbador ● Conexión a una placa con Zumbador ● Melodías básica ● ¡Fácil meter varios canales!
  • 25. Larby: Robot modular ● Servos conectados directamente a Icezum Alhambra ● Configuración mínima pitch-pitch ● Módulo impresos en 3D
  • 26. Apio-ide https://github.com/FPGAwars/apio-ide ● Autores: Jesus Arroyo/Obijuan ● Plug-in para Atom ● No línea de comandos ● Llama a apio ● Aplicable a otros IDEs/editores ● Descripción en VerilogDemo
  • 28. Paso 1: Consigue una placa Con FPGA libre Icestick Go-board ● Conexión directa al PC (USB) ● Soportadas por Apio/Icestudio iCE40-HX8K Breakout Board
  • 29. icoboard ● Conexión a Raspberry PI ● Soportada por Apio/Icestudio NO Soportadas por Apio/Icestudio Mystorm iCE40HX1K-EVB
  • 30. Icezum Alhambra v1.1 ● Autor: Eladio Delgado ● Diseñada en Pinos del Valle (Granada) ● Arduino de las FPGAs ● Compatible Arduino ● Fácil conexión de circuitos externos/sensores/servos ● Reutilización de los shields de arduino ● 20 entradas/salidas de 5v ● 3A corriente de entrada ● Perfecta para hacer robots https://github.com/FPGAwars/icezum/wiki
  • 31. Icezum Alhambra v1.1 8 leds de pruebas Pulsadores de prueba Conector micro-usb Alimentación 6-17v 3A Interruptor On/off Pines io 3v3 Conexión directa de Sensores / actuadores 4 entradas analógicas i2c Pulsador de reset
  • 32. Icezum Alhambra peregrina ● A.k.a CalmaSAV ● Empieza: Rinconingenieril.es ● Ámbito: Toda España ● Coordinado desde la lista de FPGAwars
  • 33. Paso 2: Instálate Icestudio/Apio https://github.com/FPGAwars/icestudio https://github.com/FPGAwars/apio
  • 34. Tutorial: Diseño Digital para FPGAs, con herramientas libres Paso 3: Aprende diseño digital y Verilog https://github.com/Obijuan/open-fpga-verilog-tutorial/wiki ● Hace 1 año (obsoleto) ● Herramientas de bajo nivel (make) ● Tarjeta icestick ● Útil mientras hago uno más actualizado :-)
  • 35. Paso 4: Apúntate a la comunidad FPGAwars ● Comunidad para compartir conocimiento relacionado con FPGAs libres ● Es el clonewars de las FPGAs, pero en modesto :-) ● Idioma: Castellano ● 226 miembros ● Cualquier pregunta / comentario / sugerencia → Correo a la lista :-) http://fpgawars.github.io/
  • 36. Paso 5: Haz tus proyectos con FPGAs libres https://github.com/Obijuan/ACC/wikihttps://github.com/Obijuan/simplez-fpga/wiki/Procesador-SIMPLEZ-F
  • 37. Paso 6: ¡Comparte con la comunidad!
  • 38. FPGAs en Patrimonio Tecnológico de la humanidad Software Libre Hardware Libre Reprap FPG As libres O tros
  • 39. ¡Que las FPGAs libres os acompañen!
  • 41. FPGAs libres Juan González Gómez (Obijuan) Maker Faire Bilbao 18 de Noviembre de 2016 https://github.com/Obijuan/myslides https://github.com/Obijuan