SlideShare uma empresa Scribd logo
1 de 19
Prepared By:
Krunal Siddhapathak(10BEC097)
1. Introduction
2. MicroBlaze Core
Block Diagram
3. MicroBlaze
Architecture
4. Features
5. Advantages
6. Architecture
limitations of
MicroBlaze
7. Conclusion
» The MicroBlaze is a soft processor core designed for
Xilinx FPGAs from Xilinx.
» embedded processor soft core is a reduced
instruction set computer (RISC) .
» A soft microprocessor (also called soft core
microprocessor or a soft processor) is
a microprocessor core that can be wholly
implemented using logic synthesis.
» Supports two Bus Standards.
1) PLB V46 (PROCESSOR LOCAL BUS)
2) XILINX LOCAL MEMORY BUS
» LMB designed to interface local PLBs block designed
in FPGA.
» PLB V46 designed for High speed and High
Bandwidth peripherals.
» PLB V46 supports 128bits of data and 36 bits of
address.
» MicroBlaze By default do not supports any Cache
blocks.
» When it gets activated by EDK(Embedded
development Kit) cache block also gets activated
through BLOCK RAM.
» There are mainly Two Types of RAMS
1) BLOCK RAM
2) Distributed RAM
» Supports FAST Simplex Link (FSL).
» FSL is used for Custom Function like large
multiplication etc.
» Supports Tightly coupled OFF chip FLSH/SRAM
Memory.
» Used to provide low latency memory access that is
known as Cache link with interfering PLB V46
» Some embedded system like Power PC contains
1) PLB V46 master bus
2)PLB V46 slave bus.
» Less time intensive task can be off loaded to slave
bus that is also connected to MicroBlaze core.
» highly configurable processor, allowing you to
select a specific set of features required by your
design.
» The fixed feature set of the processor includes:
˃ Thirty-two 32-bit general purpose registers
˃ 32-bit instruction word with three operands and two addressing modes
˃ 32-bit address bus
˃ Single issue pipeline
In addition to these fixed features, the MicroBlaze processor is parameterized
to allow selective enabling of additional functionality.
Older (deprecated) versions of MicroBlaze support a subset of the optional
features. Only the latest (preferred) version of MicroBlaze (v7.1) supports all
options.
» In terms of its instruction-set architecture, MicroBlaze is very
similar to the RISC-based DLX architecture.
» The MicroBlaze has a versatile interconnect system to support
a variety of embedded applications.
» A newer version of the MicroBlaze, supported in both Spartan-
6 and Virtex-6 implementations, as well as the 7-
Series, supports the AXI specification.
» The majority of vendor-supplied and third-party IP interface to
PLB directly (or through an PLB to OPB bus bridge.)
» For access to local-memory (FPGA BRAM), MicroBlaze uses a
dedicated LMB bus, which reduces loading on the other buses.
» User-defined coprocessors are supported through a
dedicated FIFO-style connection called FSL (Fast Simplex Link).
» The coprocessor(s) interface can accelerate computationally
intensive algorithms by offloading parts or the entirety of the
computation to a user-designed hardware module.
» Easy to use with EDK
˃Linux/GCC support (with limitations)
» High “performance” soft core processor
˃Most of instructions can be completed with 1 cycles
˃Shorter pipeline, higher working frequency (>100 MHz on
Virtex-II )
+ LEON3 7-stage pipeline, 5000 LUTs @ 90MHz on Virtex-II
˃FPGA optimized implementation
+ Fast carry chain MUX, hardware multiplier
+ RLOC placement constraints
» MicroBlaze Core Features and Options
˃Low Latency Interrupt Mode
˃Fault Tolerance, including Error Correction Codes (ECC) and Lockstep
support
˃LMB BRAM memory
˃Parity protection on internal BRAMs and caches
˃Floating Point Unit (FPU)
˃IEEE 754 compatible
˃Single precision
˃Memory Management Unit (MMU)
˃Full MMU with Virtual Memory supported by Linux
˃MPU mode for region protection for secure RTOS applications
˃Instruction and Data Caches
˃Cache size configurable: 2kB - 64kB (Block RAM based)
» New version 8 features expand MicroBlaze capabilities even further
˃Relocatable base vector addresses for maximum memory sharing
flexibility when using MicroBlaze in Zynq-7000 AP SoC devices
˃New Sleep instruction enhances MicroBlaze low-power performance
˃IO Module enhancements add GPI interrupts, and programmable UART
baud rate. Can be used with either MicroBlaze_MCS or full MicroBlaze
˃LMB BRAM interface controller now supports multiple LMB busses
˃New low latency interrupts where the controller directly supplies the
interrupt vector for each individual interrupt, lowering latency response
by as much as 10X depending on system design.
˃Embedded designers can now add a new AXI System Cache soft-
peripheral to any memory controller-based design. MicroBlaze then uses
this peripheral as Level 2 cache increasing system performance even
further.
˃New byteswap instructions to further increase Ethernet performance
when using AXI.
»
» Simplify your life - MicroBlaze Configuration Wizard
˃ Extreme configurability is at the heart of Micro Blaze’s flexibility, but you
don’t have to memorize a manual to learn how to configure MicroBlaze.
˃ Through the MicroBlaze Configuration Wizard included in Xilinx Platform
Studio – a few mouse clicks lets you quickly select between six common
microprocessor use models.
˃ The configuration wizard delivers instant feedback through a meter display on
resource utilization and performance, and runs in wizard mode for fast
setup, or advanced mode for access to the lowest level details.
» Smaller, but still powerful - MicroBlaze Microcontroller
System
˃ Xilinx also offers the power of MicroBlaze in an even smaller package - MicroBlaze
Micro Controller System .
˃ MicroBlaze MCS consists of MicroBlaze in a fixed 3-stage pipeline configuration for the
smallest footprint surrounded by a system of common embedded design peripherals.
˃ it can run from IDS Logic Edition without the need for a full embedded design license.
» No MMU support
˃no protection among processes
˃Can’t run full version Linux.
» No double precision floating point
˃no full floating point libraries support in libC
» No atomic instructions
˃hard to implement lock
˃non-blocking FIFO instruction problem.
» No cache coherent support
» Xilinx's EDK (Embedded Development Kit) is the development
package for building MicroBlaze (and PowerPC) embedded
processor systems in Xilinx FPGAs.
» Designers use XPS (Xilinx Platform Studio) to configure and build
the hardware specification of their embedded system (processor
core, memory-controller, I/O peripherals, etc.)
» The XPS converts the designer's platform specification into a
synthesizable RTL description (Verilog or VHDL), and writes a set
of scripts to automate the implementation of the embedded
system (from RTL to the bitstream-file.)
» For the MicroBlaze core, the EDK normally generates an
encrypted (non human-readable) netlist, but the processor
description (written in VHDL) can be purchased from Xilinx.
» MicroBlaze™ is the industry-leader in FPGA-
based soft processors, with advanced
architecture options like AXI or PLB
interface, Memory Management Unit
(MMU), instruction and data-side
cache, configurable pipeline depth, Floating-
Point unit (FPU), and much more.
» http://www.xilinx.com/support/documentation/sw_m
anuals/mb_ref_guide.pdf
» http://www.wiki.xilinx.com/MicroBlaze
» http://www.smdp2vlsi.gov.in/smdp2vlsi/downloads/
mb_tutorial.pdf
Microblaze

Mais conteúdo relacionado

Mais procurados

Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
Iffat Anjum
 
fpga programming
fpga programmingfpga programming
fpga programming
Anish Gupta
 
Xilinx lca and altera flex
Xilinx lca and altera flexXilinx lca and altera flex
Xilinx lca and altera flex
anishgoel
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
Daola Khungur
 
Programmable array logic
Programmable array logicProgrammable array logic
Programmable array logic
Gaditek
 

Mais procurados (20)

vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Unit VI CPLD-FPGA Architecture
Unit VI CPLD-FPGA ArchitectureUnit VI CPLD-FPGA Architecture
Unit VI CPLD-FPGA Architecture
 
Xilinx 4000 series
Xilinx 4000 seriesXilinx 4000 series
Xilinx 4000 series
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
 
Altera flex
Altera flexAltera flex
Altera flex
 
fpga programming
fpga programmingfpga programming
fpga programming
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
FPGA
FPGAFPGA
FPGA
 
CPLD xc9500
CPLD xc9500CPLD xc9500
CPLD xc9500
 
Xilinx lca and altera flex
Xilinx lca and altera flexXilinx lca and altera flex
Xilinx lca and altera flex
 
Field programable gate array
Field programable gate arrayField programable gate array
Field programable gate array
 
FPGA
FPGAFPGA
FPGA
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Programmable array logic
Programmable array logicProgrammable array logic
Programmable array logic
 
PCIe
PCIePCIe
PCIe
 
UNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONS
UNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONSUNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONS
UNIT I- CPLD & FPGA ARCHITECTURE & APPLICATIONS
 
FPGAs : An Overview
FPGAs : An OverviewFPGAs : An Overview
FPGAs : An Overview
 
cplds
cpldscplds
cplds
 

Semelhante a Microblaze

Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
SnehaLatha68
 
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
IDES Editor
 
ds188-XA-Zynq-7000-Overview
ds188-XA-Zynq-7000-Overviewds188-XA-Zynq-7000-Overview
ds188-XA-Zynq-7000-Overview
Angela Suen
 

Semelhante a Microblaze (20)

Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
Softcore processor.pptxSoftcore processor.pptxSoftcore processor.pptx
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)
 
An introduction to FPGAs and Their MPSOCs
An introduction to FPGAs and Their MPSOCs  An introduction to FPGAs and Their MPSOCs
An introduction to FPGAs and Their MPSOCs
 
Implementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGAImplementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGA
 
Smart logic
Smart logicSmart logic
Smart logic
 
CMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptxCMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptx
 
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
 
ds188-XA-Zynq-7000-Overview
ds188-XA-Zynq-7000-Overviewds188-XA-Zynq-7000-Overview
ds188-XA-Zynq-7000-Overview
 
Nehalem
NehalemNehalem
Nehalem
 
8086 microprocessor by devansh koolwal
8086 microprocessor by devansh koolwal8086 microprocessor by devansh koolwal
8086 microprocessor by devansh koolwal
 
Db2 analytics accelerator on ibm integrated analytics system technical over...
Db2 analytics accelerator on ibm integrated analytics system   technical over...Db2 analytics accelerator on ibm integrated analytics system   technical over...
Db2 analytics accelerator on ibm integrated analytics system technical over...
 
Intel 8th generation and 7th gen microprocessor full details especially for t...
Intel 8th generation and 7th gen microprocessor full details especially for t...Intel 8th generation and 7th gen microprocessor full details especially for t...
Intel 8th generation and 7th gen microprocessor full details especially for t...
 
Flexis QE 32-bit ColdFire® V1 Microcontrollers
Flexis QE 32-bit  ColdFire® V1 Microcontrollers Flexis QE 32-bit  ColdFire® V1 Microcontrollers
Flexis QE 32-bit ColdFire® V1 Microcontrollers
 
14 284-291
14 284-29114 284-291
14 284-291
 
Linux one vs x86
Linux one vs x86 Linux one vs x86
Linux one vs x86
 
Linux one vs x86 18 july
Linux one vs x86 18 julyLinux one vs x86 18 july
Linux one vs x86 18 july
 
HiPEAC 2022_Marco Tassemeier presentation
HiPEAC 2022_Marco Tassemeier presentationHiPEAC 2022_Marco Tassemeier presentation
HiPEAC 2022_Marco Tassemeier presentation
 
Unit I_MT2301.pdf
Unit I_MT2301.pdfUnit I_MT2301.pdf
Unit I_MT2301.pdf
 
Intel® RDT Hands-on Lab
Intel® RDT Hands-on LabIntel® RDT Hands-on Lab
Intel® RDT Hands-on Lab
 
Red hat Enterprise Linux 6.4 for IBM System z Technical Highlights
Red hat Enterprise Linux 6.4 for IBM System z Technical HighlightsRed hat Enterprise Linux 6.4 for IBM System z Technical Highlights
Red hat Enterprise Linux 6.4 for IBM System z Technical Highlights
 

Mais de Krunal Siddhapathak

Mais de Krunal Siddhapathak (10)

Grid computing
Grid computingGrid computing
Grid computing
 
MICROWAVE RADIO COVERAGE FOR VEHICLE TO-VEHICLE AND IN-VEHICLE COMMUNICATION
MICROWAVE RADIO COVERAGE FOR VEHICLE TO-VEHICLE AND IN-VEHICLE COMMUNICATION MICROWAVE RADIO COVERAGE FOR VEHICLE TO-VEHICLE AND IN-VEHICLE COMMUNICATION
MICROWAVE RADIO COVERAGE FOR VEHICLE TO-VEHICLE AND IN-VEHICLE COMMUNICATION
 
Optical coherence tomography
Optical coherence tomographyOptical coherence tomography
Optical coherence tomography
 
Sic a new era in power electronics
Sic a new era in power electronicsSic a new era in power electronics
Sic a new era in power electronics
 
Ultra wide band antenna
Ultra wide band antennaUltra wide band antenna
Ultra wide band antenna
 
Atumatic toll tax system
Atumatic toll tax systemAtumatic toll tax system
Atumatic toll tax system
 
Musketeers mind rover
Musketeers mind roverMusketeers mind rover
Musketeers mind rover
 
Larrabee
LarrabeeLarrabee
Larrabee
 
Embedded C
Embedded CEmbedded C
Embedded C
 
Features of modern intel microprocessors
Features of modern intel microprocessorsFeatures of modern intel microprocessors
Features of modern intel microprocessors
 

Último

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 

Último (20)

Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot ModelNavi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024
 
A Beginners Guide to Building a RAG App Using Open Source Milvus
A Beginners Guide to Building a RAG App Using Open Source MilvusA Beginners Guide to Building a RAG App Using Open Source Milvus
A Beginners Guide to Building a RAG App Using Open Source Milvus
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 

Microblaze

  • 2. 1. Introduction 2. MicroBlaze Core Block Diagram 3. MicroBlaze Architecture 4. Features 5. Advantages 6. Architecture limitations of MicroBlaze 7. Conclusion
  • 3. » The MicroBlaze is a soft processor core designed for Xilinx FPGAs from Xilinx. » embedded processor soft core is a reduced instruction set computer (RISC) . » A soft microprocessor (also called soft core microprocessor or a soft processor) is a microprocessor core that can be wholly implemented using logic synthesis.
  • 4.
  • 5. » Supports two Bus Standards. 1) PLB V46 (PROCESSOR LOCAL BUS) 2) XILINX LOCAL MEMORY BUS » LMB designed to interface local PLBs block designed in FPGA. » PLB V46 designed for High speed and High Bandwidth peripherals. » PLB V46 supports 128bits of data and 36 bits of address.
  • 6. » MicroBlaze By default do not supports any Cache blocks. » When it gets activated by EDK(Embedded development Kit) cache block also gets activated through BLOCK RAM. » There are mainly Two Types of RAMS 1) BLOCK RAM 2) Distributed RAM » Supports FAST Simplex Link (FSL). » FSL is used for Custom Function like large multiplication etc.
  • 7. » Supports Tightly coupled OFF chip FLSH/SRAM Memory. » Used to provide low latency memory access that is known as Cache link with interfering PLB V46 » Some embedded system like Power PC contains 1) PLB V46 master bus 2)PLB V46 slave bus. » Less time intensive task can be off loaded to slave bus that is also connected to MicroBlaze core.
  • 8.
  • 9. » highly configurable processor, allowing you to select a specific set of features required by your design. » The fixed feature set of the processor includes: ˃ Thirty-two 32-bit general purpose registers ˃ 32-bit instruction word with three operands and two addressing modes ˃ 32-bit address bus ˃ Single issue pipeline In addition to these fixed features, the MicroBlaze processor is parameterized to allow selective enabling of additional functionality. Older (deprecated) versions of MicroBlaze support a subset of the optional features. Only the latest (preferred) version of MicroBlaze (v7.1) supports all options.
  • 10. » In terms of its instruction-set architecture, MicroBlaze is very similar to the RISC-based DLX architecture. » The MicroBlaze has a versatile interconnect system to support a variety of embedded applications. » A newer version of the MicroBlaze, supported in both Spartan- 6 and Virtex-6 implementations, as well as the 7- Series, supports the AXI specification. » The majority of vendor-supplied and third-party IP interface to PLB directly (or through an PLB to OPB bus bridge.) » For access to local-memory (FPGA BRAM), MicroBlaze uses a dedicated LMB bus, which reduces loading on the other buses. » User-defined coprocessors are supported through a dedicated FIFO-style connection called FSL (Fast Simplex Link). » The coprocessor(s) interface can accelerate computationally intensive algorithms by offloading parts or the entirety of the computation to a user-designed hardware module.
  • 11. » Easy to use with EDK ˃Linux/GCC support (with limitations) » High “performance” soft core processor ˃Most of instructions can be completed with 1 cycles ˃Shorter pipeline, higher working frequency (>100 MHz on Virtex-II ) + LEON3 7-stage pipeline, 5000 LUTs @ 90MHz on Virtex-II ˃FPGA optimized implementation + Fast carry chain MUX, hardware multiplier + RLOC placement constraints
  • 12. » MicroBlaze Core Features and Options ˃Low Latency Interrupt Mode ˃Fault Tolerance, including Error Correction Codes (ECC) and Lockstep support ˃LMB BRAM memory ˃Parity protection on internal BRAMs and caches ˃Floating Point Unit (FPU) ˃IEEE 754 compatible ˃Single precision ˃Memory Management Unit (MMU) ˃Full MMU with Virtual Memory supported by Linux ˃MPU mode for region protection for secure RTOS applications ˃Instruction and Data Caches ˃Cache size configurable: 2kB - 64kB (Block RAM based)
  • 13. » New version 8 features expand MicroBlaze capabilities even further ˃Relocatable base vector addresses for maximum memory sharing flexibility when using MicroBlaze in Zynq-7000 AP SoC devices ˃New Sleep instruction enhances MicroBlaze low-power performance ˃IO Module enhancements add GPI interrupts, and programmable UART baud rate. Can be used with either MicroBlaze_MCS or full MicroBlaze ˃LMB BRAM interface controller now supports multiple LMB busses ˃New low latency interrupts where the controller directly supplies the interrupt vector for each individual interrupt, lowering latency response by as much as 10X depending on system design. ˃Embedded designers can now add a new AXI System Cache soft- peripheral to any memory controller-based design. MicroBlaze then uses this peripheral as Level 2 cache increasing system performance even further. ˃New byteswap instructions to further increase Ethernet performance when using AXI. »
  • 14. » Simplify your life - MicroBlaze Configuration Wizard ˃ Extreme configurability is at the heart of Micro Blaze’s flexibility, but you don’t have to memorize a manual to learn how to configure MicroBlaze. ˃ Through the MicroBlaze Configuration Wizard included in Xilinx Platform Studio – a few mouse clicks lets you quickly select between six common microprocessor use models. ˃ The configuration wizard delivers instant feedback through a meter display on resource utilization and performance, and runs in wizard mode for fast setup, or advanced mode for access to the lowest level details. » Smaller, but still powerful - MicroBlaze Microcontroller System ˃ Xilinx also offers the power of MicroBlaze in an even smaller package - MicroBlaze Micro Controller System . ˃ MicroBlaze MCS consists of MicroBlaze in a fixed 3-stage pipeline configuration for the smallest footprint surrounded by a system of common embedded design peripherals. ˃ it can run from IDS Logic Edition without the need for a full embedded design license.
  • 15. » No MMU support ˃no protection among processes ˃Can’t run full version Linux. » No double precision floating point ˃no full floating point libraries support in libC » No atomic instructions ˃hard to implement lock ˃non-blocking FIFO instruction problem. » No cache coherent support
  • 16. » Xilinx's EDK (Embedded Development Kit) is the development package for building MicroBlaze (and PowerPC) embedded processor systems in Xilinx FPGAs. » Designers use XPS (Xilinx Platform Studio) to configure and build the hardware specification of their embedded system (processor core, memory-controller, I/O peripherals, etc.) » The XPS converts the designer's platform specification into a synthesizable RTL description (Verilog or VHDL), and writes a set of scripts to automate the implementation of the embedded system (from RTL to the bitstream-file.) » For the MicroBlaze core, the EDK normally generates an encrypted (non human-readable) netlist, but the processor description (written in VHDL) can be purchased from Xilinx.
  • 17. » MicroBlaze™ is the industry-leader in FPGA- based soft processors, with advanced architecture options like AXI or PLB interface, Memory Management Unit (MMU), instruction and data-side cache, configurable pipeline depth, Floating- Point unit (FPU), and much more.