SlideShare uma empresa Scribd logo
1 de 60
Xilinx 設計統合環境操作の覚
え
ISE 12.4 を題材として ver3.0

             論理回路設計研修
             © 渡部謹二 , 小川清



2013/02/27     (c) watabe kinji, kaizen@wh.commufa.jp   1
この資料について
   論理回路研修の補助資料です
       研修以外の目的での使用はご遠慮ください
       最新の情報は Xilinx.com の資料でご確認くだ
        さい
   誤り等を見つけられた場合は名古屋市工業
    研究所 小川清までご連絡ください
      kaizen@wh.commufa.jp
     http://researchmap.jp/kaizen/STARC RTL 設計

       スタイルガイド kinji, kaizen@wh.commufa.jp
     2013/02/27   (c) watabe
                             /                 2
目次
   設計統合環境による「プロジェクト」
    管理
   ISim による模擬試験 (simulation)
   PlanAhead によるピン割り当て
   設計統合環境による回路生成
   iMPACT による回路の実装 (download)


2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   3
設計統合環境による
             「プロジェクト」管
             理




2013/02/27    (c) watabe kinji, kaizen@wh.commufa.jp   4
はじめに設計統合環境による「プロジェクト」
          管理

   設計統合環境は設計の道具を統合した環境で
    す
       「プロジェクト」という纏まりで構成を管理して
        います。
       「プロジェクト」の管理方法について説明します
       「プロジェクト」は「フォルダ」と対応していま
        す。
       うまく動いた「プロジェクト」の「フォルダ」を
        複写して、基準線 (base line) は手をつけないよう
        にします。 (c) watabe kinji, kaizen@wh.commufa.jp
         2013/02/27                                  5
設計統合環境の起動
設計統合環境による「プロジェクト」管理

   デスクトップ上の「 Xilinx ISE Design
    Suite 12.4 」アイコンをダブルクリック
    する
        「スタートボタン」から選択しても起動す
         る




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   6
プロジェクト設計統合環境によるプロジェ
        クト管理

   プロジェクトで 1 つの開発物の管理をしま
    す
       Verilog HDL( 回路記述、模擬試験 (simulation)
        記述 )
       UCF (回路配置配線制約記述、時間制約記述)
       回路図(回路記述) , 実装用ファイル
   プロジェクトの新規作成と既存のプロジェ
    クトの開き方を示します
        2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   7
「プロジェクト」の新規作成
       設計統合環境による「プロジェクト」管理


①File->
New Project をク
リック




       2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   8
①
Name,  プロジェクトの名
前
Location, プロジェクトの
位置
Working Directory
 プロジェクトを保存する
フォルダ名
を記入



  ②Next ボタンを押
        す



       2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   9
① 使用するデバイスの情
報を記入する(デバイス
 のプリントを参考に)


②  使用する言語、ツー
ルの情報を記入する




③Next ボタンを押す




     2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   10
既存の「プロジェクト」を開
     く
     設計統合環境による「プロジェクト」管理
既存のプロジェクトを開く場
           合、
File->Open Project メニュー
       をクリックする

 ダイアログボックスでプロ
ジェクトファイルを指定すれ
    ば読み込みます

古い形式のプロジェクトファ
イル( .ise )は、新しい形式
 (.xise) に変換します(不可
           逆)




     2013/02/27     (c) watabe kinji, kaizen@wh.commufa.jp   11
VerilogHDL 回路記述ファイルの作成・編
        集
        設計統合環境による「プロジェクト」管理


   Verilog HDL は回路を記述する用途と、試
    験台 (test bench) を記述する用途がありま
    す
       回路記述ファイルの場合を示します
       新規にファイルを作成し「プロジェクト」に追
        加する手順
       既存のファイルを「プロジェクト」に追加する
        手順
        2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   12
VerilogHDL 回路記述ファイルの作成と
    「プロジェクト」への追加
    設計統合環境による「プロジェクト」管理

      ①View を
    Implementation
      にしておく




②Design ウインドウで右
クリック、 New Source
   をクリックする




    2013/02/27       (c) watabe kinji, kaizen@wh.commufa.jp   13
① Source Type を選
                                                           ② ファイル名を記入する
     択する

回路設計を行う場合
は Verilog Module を
        選択




                       ③Add to project に
                      チェックが入っている
                         ことを確認

         2013/02/27    Next ボタンを押す
                          (c) watabe kinji, kaizen@wh.commufa.jp          14
Next ボタンを押す(このステップをパス
                する)


2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   15
既存ファイルの「プロジェクト」へ
      の追加
      設計統合環境による「プロジェクト」管理

①Design ウインドウで右ク
          リック
 Add Source または Add
Copy of Source をクリック
②  ファイル選択ダイアロ
グで「プロジェクト」へ追
加するファイルを選択する


 ③ 追加されたかどうかを
Design ウインドウの階層表
      示で確認する

   Add copy of source は
    異なるフォルダにある
    ファイルを使う場合 kinji, kaizen@wh.commufa.jp
      2013/02/27    (c) watabe              16
VerilogHDL 回路記述ファイルの編集
       設計統合環境による「プロジェクト」管理
  View の選択:
回路設計の場合は
 Implementation




Design ウインドウの
ファイルをダブルク
リックするとエディ
  タで編集できる




       2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   17
試験台 (verilog test fixture) の作
成・編集
設計統合環境による「プロジェクト」管理


   試験台 (verilog test fixture) 記述ファイ
    ルの新規作成・編集方法を示します
        既存のファイルのプロジェクトへの追加方
         法は VerilogHDL 回路記述ファイルと同じ
         です




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   18
①View を Simulation にする


②Design ウインドウで右クリッ
          ク、
  NewSource をクリック




    2013/02/27     (c) watabe kinji, kaizen@wh.commufa.jp   19
① Verilog Test Fixture を
          選択




② File name ファイル名
を入力(命名規則にした
がってください。ここで
 は tb_ を先頭に付加)

 ③ Add to Project に
チェックがあることを確
       認して
 Next 2013/02/27
      ボタンを押す               (c) watabe kinji, kaizen@wh.commufa.jp   20
① テスト対象のモ
ジュール(トップモ
 ジュール)を選択




②Next ボタンを押す




   2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   21
Finish ボタンを押す




   試験対象
    ファイルに
    応じたソー
    スを自動生
    成します。
      2013/02/27    (c) watabe kinji, kaizen@wh.commufa.jp   22
ISim による模擬試験
(simulation)




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   23
はじめに ISim による模擬試験 (simulation)
   ISE シミュレータ( ISim )を使った模擬試
    験 (simulation) について説明します
   模擬試験に当たっては回路記述と試験台
    (verilog test fixture) ファイルを記述します .
    test bench と言う場合もあります。
   以下の道具の使い方を示します
       信号を観測する
       模擬試験 (simulation) を制御する
       中断点 (break point) を設定する
        2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   24
シミュレータの起動
①View の Simulation を選択



②Design ウインドウの模擬
試験する試験台 (test fixture)
        を選択

③Simulate Behavioal Model
   をダブルクリック




      2013/02/27      (c) watabe kinji, kaizen@wh.commufa.jp   25
ISim 模擬試験の様子
                                                     メニューやアイ
                                                      コンで操作
                                                     Waveform ウイ
                                                      ンドウで波形を
                                                      観測
                                                     Console ウイン
                                                      ドウでコマンド
                                                      を打ち込む、
                                                      メッセージを確
                                                      認するなどでき
                                                      る
2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp             26
信号を観測する
 ①Instance and Process
Name ウインドウで選択す
          る




     ②Objects ウインドウで
     見たい信号を Wave ウイ
      ンドウにドラッグ&ド
            ロップ                            ③ 信号を追加する
                                      信号の変化が観測されない場合は試験
                                          しなおす( Restart )


       2013/02/27        (c) watabe kinji, kaizen@wh.commufa.jp   27
信号を観測する
   示された波形は以下の View ツールを使って観測する
   View ツールバーを表示
        View > Toolbars > View をクリック




2013/02/27     (c) watabe kinji, kaizen@wh.commufa.jp   28
模擬試験 (simulation) の制御
   一気に試験をして信号を観察するほかに、段階的に試験を行う
    ことができる
   View→Toolbars→ISim をクリックして Isim ツールバーを表示す
    る




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   29
模擬試験 (simulation) の制御




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   30
模擬試験 (simulation) の制御

   Run All コマンドを発行すると模擬試験
    (simulation) が終了しない場合があるので注
    意
       試験台 (test fixture) に $stop などシステムタスク
        をいれる
       pause,stop コマンドで模擬試験を一時停止する



        2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   31
中断点 (break point) を設定す
    る
   ソースコード行ごとに挙動を確認できる




    2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   32
Source ウインドウの行番号の右側で
        ダブルクリッククリックをすると中
                断点を挿入する
               もう一度押すと削除




   模擬試験 (simulation) が
    中断点 (break point) に
    達すると一時停止する
       その後ステップ実行をつ
        かい 1 行ごとの信号の推
        移を確認できる

          2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   33
デバッグの様子
一時停止の状態でソース
コードのレジスタにカー
ソルを合わせるとその時
 点での値を表示する



   信号波形観測だけで
    なくコンソール出力
    、ファイル出力など
    を利用して模擬試験
    を効率化しよう

     2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   34
PlanAhead によるピン割り当
て




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   35
はじめに PlanAhead によるピン割り当て
    UCF(user constraints file: 利用者制約
     ファイル ) に回路 I/O のピン割り当て、
     時間制約、配置配線制約などを記述でき
     ます
         I/O のピン割り当ての方法を示します




    2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   36
UCF の作成
       PlanAhead によるピン割り当て
①View を
Implementation にする
②Design ウインドウ
でトップモジュールの
ファイルを選択する


③Process ウインドウ
          で
  I/O Pin Plannning
 (Plan Ahead) – Pre-
      Synthesis
  をダブルクリック




       2013/02/27      (c) watabe kinji, kaizen@wh.commufa.jp   37
ピン割り当て PlanAhead によるピン割り当て
① タブを I/O Ports にす
        る

② 編集したいポートを選択
      する




③ タブを General にする



④ ポートに割りあてたい
 ピンの番号を記入する



      2013/02/27     (c) watabe kinji, kaizen@wh.commufa.jp   38
ピン割り当て PlanAhead によるピン割り当て
⑤ タブを Configure にして
ピンのバッファ設定を行な
           う
    I/O Standard,
   Drive Strength,
      Slew Type,
       Pull Type
   の設定ができる

     ファイル保存には
File->Save Design メニュー
            を

 PlanAhead の終了には
  File->Exit メニューを
      をクリックする
       2013/02/27        (c) watabe kinji, kaizen@wh.commufa.jp   39
UCF の確認
    PlanAhead によるピン割り当て
ファイル保存を行な
うと最上位モジュー
ルと同じ名前の UCF
を「プロジェクト」
   に追加




    2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   40
設計統合環境による回路生成




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   41
はじめに設計統合環境による回路生成
   正しく VerilogHDL 回路記述し、制約条
    件を記述すれば回路合成、実装、ビッ
    トファイルの生成を経て FPGA に書き
    込み可能なデータに変換する
        以上の工程を一括して行う方法を示します




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   42
プログラムファイルの生成
       設計統合環境による回路生成
  ①Implementation を選択

② コンパイル対象のトップモ
ジュール(階層のトップ)を
      選択
 ③Process ウインドウの
Generate Programming File
   をダブルクリップ


 ④Console ウインドウにコ
 ンパイルの進捗状況を表示
            する
成功すれば
Process "Generate
Programming File"
                                                                43
completed successfully (c) watabe kinji, kaizen@wh.commufa.jp
      2013/02/27
iMPACT による回路の書き込み




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   44
はじめに
iMPACT による回路の書き込み

   ビットファイルを FPGA に書き込
    み (download) 手順を示します
      FPGA モード設定
      PC と FPGA 基盤の接続

      iMPACT の使い方




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   45
FPGA モード設定
                                FPGA のコンフィグ
                                 レーションモードピ
                                 ンで設定
                                     この基盤では Slave
                                      Serial Mode 固定
                                     通常の基盤であると
                                      モードピンをスイッチ
                                      で設定するので注意

2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   46
PC と基盤の接続
                                      25 ピンパラレル
                                       ケーブルで PC と
接続写真                                   FPGA 基盤を接続
                                       する
                                      AC アダプタを
                                       FPGA 基盤に接続
                                       する

2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   47
iMPACT の使い方
                                ①   Process ウインドウの
                                Generate Programming File
                                を展開
                                ②   Configure Device
                                (iMPACT) をダブルクリッ
                                ク




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp         48
   エラーにより
                                            iMPACT が設計
                                            統合環境より起
                                            動できない場合
                                              スタートメニュー ->
                                              すべてのプログラム -
                                                 >
                                              Xilinx ISE Design
                                                 Suite 12.4 ->
                                              ISE デザインツール
                                                 -> ツール ->
                                               iMPACT
2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp           49
①Boundary Scan を
  ダブルクリック




  ② 右クリックして
Initialize Chain  をク
          リック




      2013/02/27       (c) watabe kinji, kaizen@wh.commufa.jp   50
① 数珠繋ぎになっているデ
   バイスを表示する
  ※ 表示しない場合は
  電源接続、 JTAG 接
続、 JTAG モード設定を確
       認する


② コンフィグレーション
ファイル(書き込みファイ
ル)を聞いてくるので Yes
   ボタンを押す




    2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   51
   「コンフィグ
                                           レーションデー
                                           タの準備」で作
                                           成した bit ファイ
                                           ルを選択
                                          Open ボタンを押
                                           下

2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   52
Device Programming
         Properties Dialog Box




「 Pulse PROG
This instruction is supported in Spartan, Virtex®FPGAs.
 When you select the Pulse PROG option, a special program instruction is sent to
the device that causes the PROG signal to be pulsed to clear the device configuration
memory prior to initiating the configuration sequence. 」
         2013/02/27         (c) watabe kinji, kaizen@wh.commufa.jp                53
   SPI, BPI ROM が関係なければ No




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   54
右クリックして Program を押す




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp      55
OK ボタンを押下




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp         56
書き込みが成功すると
                                      Program Suceeded と
                                            表示する

                                      FPGA は書き込み成功
                                      するとそのまま動作す
                                             る




   失敗すると Program Fail と赤く表示する。ケーブル
    が緩んでいても失敗する。接続を確認して再度実行
    してみる。
      2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 57
プログラム書き込み
(download) について
   PROM への書き込みは iMPACT で出来
    ます
        操作は異なりますので注意してください




2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   58
参考事項

   回路図設計 ,IP の利用については
    「 FSM 設計詳細(シリア
    ル) .ppt 」で説明していますの
    で参照ください
   参考
       FPGA ボードで学ぶ Verilog HDL を
        使用 ( 設計統合環境は xilinx.com か
        ら)
       Spartan3e データシー ds099-
        2 2013/02/27 ) (c) watabe kinji, kaizen@wh.commufa.jp
          ( v1.4                                                59
履歴
   2010/9/1   ISE 12.2、 ModelSim
    XE III 向けに作成
   2011/4/13   ISE12.4 向け、 ISE
    Simulator 向けに改訂
   2011/4/13 2009/3/9 「コンフィグレー
    ション方法 .ppt 」ファイルを一本化
   2011/4/20 PlanAhead 資料を追加
   2013/2/13 全体を見直し
2013/02/27   (c) watabe kinji, kaizen@wh.commufa.jp   60

Mais conteúdo relacionado

Destaque

STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強Kiyoshi Ogawa
 
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronamakirin_nico
 
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構Takashi Yamanoue
 
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順Xilinx ISE で Digilent Atlys ボードの回路を作成する手順
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順Takashi Yamanoue
 
Verilog-HDL Tutorial (1)
Verilog-HDL Tutorial (1)Verilog-HDL Tutorial (1)
Verilog-HDL Tutorial (1)Hiroki Nakahara
 
Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Hiroki Nakahara
 
Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Hiroki Nakahara
 
Verilog-HDL Tutorial (4)
Verilog-HDL Tutorial (4)Verilog-HDL Tutorial (4)
Verilog-HDL Tutorial (4)Hiroki Nakahara
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)Kei Nakazawa
 
AWSクラウド利用料算出の参考資料
AWSクラウド利用料算出の参考資料AWSクラウド利用料算出の参考資料
AWSクラウド利用料算出の参考資料SORACOM, INC
 
マシな画面を作る
マシな画面を作るマシな画面を作る
マシな画面を作るokumasama
 

Destaque (12)

STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
STARC RTL設計スタイルガイドによるVerilog HDL並列記述の補強
 
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama
「だるやなぎのグッズを求めるのは間違っているだろうか」 プロ生勉強会 第34回@サイボウズ株式会社 松山オフィス #pronama
 
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構
Dicomo 2013, デスクトップ画像共有システムのための、トーナメントアルゴリズムを使った負荷分散機構
 
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順Xilinx ISE で Digilent Atlys ボードの回路を作成する手順
Xilinx ISE で Digilent Atlys ボードの回路を作成する手順
 
Verilog-HDL Tutorial (1)
Verilog-HDL Tutorial (1)Verilog-HDL Tutorial (1)
Verilog-HDL Tutorial (1)
 
Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)Verilog-HDL Tutorial (3)
Verilog-HDL Tutorial (3)
 
Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)Verilog-HDL Tutorial (2)
Verilog-HDL Tutorial (2)
 
$30で始めるFPGA
$30で始めるFPGA$30で始めるFPGA
$30で始めるFPGA
 
Verilog-HDL Tutorial (4)
Verilog-HDL Tutorial (4)Verilog-HDL Tutorial (4)
Verilog-HDL Tutorial (4)
 
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
15.06.27 実録 ソフトウェア開発者が FPGAを独習した最初の3歩@RTLを語る会(9)
 
AWSクラウド利用料算出の参考資料
AWSクラウド利用料算出の参考資料AWSクラウド利用料算出の参考資料
AWSクラウド利用料算出の参考資料
 
マシな画面を作る
マシな画面を作るマシな画面を作る
マシな画面を作る
 

Semelhante a Xilinx2013d

ToolChainを使った次世代DevOps環境の作り方
ToolChainを使った次世代DevOps環境の作り方ToolChainを使った次世代DevOps環境の作り方
ToolChainを使った次世代DevOps環境の作り方Harada Kazuki
 
JAZUG女子部 第2回勉強会 ハンズオン
JAZUG女子部 第2回勉強会 ハンズオンJAZUG女子部 第2回勉強会 ハンズオン
JAZUG女子部 第2回勉強会 ハンズオンKana SUZUKI
 
Azure DevOps Online Vol.3 - Inside Azure Pipelines
Azure DevOps Online Vol.3 - Inside Azure PipelinesAzure DevOps Online Vol.3 - Inside Azure Pipelines
Azure DevOps Online Vol.3 - Inside Azure PipelinesKazushi Kamegawa
 
cp-1. Microsoft Visual Studio 2019 C++ の使い方
cp-1. Microsoft Visual Studio 2019 C++ の使い方cp-1. Microsoft Visual Studio 2019 C++ の使い方
cp-1. Microsoft Visual Studio 2019 C++ の使い方kunihikokaneko1
 
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...Masaki Takeda
 
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】Tomoharu ASAMI
 
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)takots
 
春はアゲモノ、Blender+αの大発表会
春はアゲモノ、Blender+αの大発表会春はアゲモノ、Blender+αの大発表会
春はアゲモノ、Blender+αの大発表会Yuichi Sato
 
Azure DevOps - ALGYAN Oct 2022.pdf
Azure DevOps - ALGYAN Oct 2022.pdfAzure DevOps - ALGYAN Oct 2022.pdf
Azure DevOps - ALGYAN Oct 2022.pdfYasuhiroHanda2
 
Relationship betweenddd and mvc
Relationship betweenddd and mvcRelationship betweenddd and mvc
Relationship betweenddd and mvcTakao Tetsuro
 
市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望についてKen Azuma
 
JAWS-UG東京 - 2019-09-26 - Gateway祭
JAWS-UG東京 - 2019-09-26 - Gateway祭JAWS-UG東京 - 2019-09-26 - Gateway祭
JAWS-UG東京 - 2019-09-26 - Gateway祭Yutaro Ono
 
Agileツール適合化分科会(構成管理・ビルドツール)
Agileツール適合化分科会(構成管理・ビルドツール)Agileツール適合化分科会(構成管理・ビルドツール)
Agileツール適合化分科会(構成管理・ビルドツール)masanori kataoka
 
市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望についてKen Azuma
 
OpenAI の音声認識 AI「Whisper」をテストしてみた
OpenAI の音声認識 AI「Whisper」をテストしてみたOpenAI の音声認識 AI「Whisper」をテストしてみた
OpenAI の音声認識 AI「Whisper」をテストしてみたHide Koba
 
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北Akiko Kosaka
 
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北Akiko Kosaka
 
Bluemixクラウド開発入門 Devlivery Pipeline デモ
Bluemixクラウド開発入門 Devlivery Pipeline デモBluemixクラウド開発入門 Devlivery Pipeline デモ
Bluemixクラウド開発入門 Devlivery Pipeline デモHideaki Tokida
 
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会Takayuki Shimizukawa
 

Semelhante a Xilinx2013d (20)

ToolChainを使った次世代DevOps環境の作り方
ToolChainを使った次世代DevOps環境の作り方ToolChainを使った次世代DevOps環境の作り方
ToolChainを使った次世代DevOps環境の作り方
 
JAZUG女子部 第2回勉強会 ハンズオン
JAZUG女子部 第2回勉強会 ハンズオンJAZUG女子部 第2回勉強会 ハンズオン
JAZUG女子部 第2回勉強会 ハンズオン
 
Azure DevOps Online Vol.3 - Inside Azure Pipelines
Azure DevOps Online Vol.3 - Inside Azure PipelinesAzure DevOps Online Vol.3 - Inside Azure Pipelines
Azure DevOps Online Vol.3 - Inside Azure Pipelines
 
cp-1. Microsoft Visual Studio 2019 C++ の使い方
cp-1. Microsoft Visual Studio 2019 C++ の使い方cp-1. Microsoft Visual Studio 2019 C++ の使い方
cp-1. Microsoft Visual Studio 2019 C++ の使い方
 
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...
Test Manager + Team Foundation Server /Visual Studio Team Services 手順書(共有パラメー...
 
220523JS7.pdf
220523JS7.pdf220523JS7.pdf
220523JS7.pdf
 
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】
実装(2) 【クラウドアプリケーションのためのオブジェクト指向分析設計講座 第31回】
 
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)
C#版人狼知能エージェントの作り方~Visual Studio編~(AIWolf.NET 1.0.6版)
 
春はアゲモノ、Blender+αの大発表会
春はアゲモノ、Blender+αの大発表会春はアゲモノ、Blender+αの大発表会
春はアゲモノ、Blender+αの大発表会
 
Azure DevOps - ALGYAN Oct 2022.pdf
Azure DevOps - ALGYAN Oct 2022.pdfAzure DevOps - ALGYAN Oct 2022.pdf
Azure DevOps - ALGYAN Oct 2022.pdf
 
Relationship betweenddd and mvc
Relationship betweenddd and mvcRelationship betweenddd and mvc
Relationship betweenddd and mvc
 
市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について
 
JAWS-UG東京 - 2019-09-26 - Gateway祭
JAWS-UG東京 - 2019-09-26 - Gateway祭JAWS-UG東京 - 2019-09-26 - Gateway祭
JAWS-UG東京 - 2019-09-26 - Gateway祭
 
Agileツール適合化分科会(構成管理・ビルドツール)
Agileツール適合化分科会(構成管理・ビルドツール)Agileツール適合化分科会(構成管理・ビルドツール)
Agileツール適合化分科会(構成管理・ビルドツール)
 
市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について市場動向並びに弊社製品の今後の展望について
市場動向並びに弊社製品の今後の展望について
 
OpenAI の音声認識 AI「Whisper」をテストしてみた
OpenAI の音声認識 AI「Whisper」をテストしてみたOpenAI の音声認識 AI「Whisper」をテストしてみた
OpenAI の音声認識 AI「Whisper」をテストしてみた
 
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
 
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
AgileJapan2010 官公庁でも取り組み始めたアジャイル! NECソフトウェア東北
 
Bluemixクラウド開発入門 Devlivery Pipeline デモ
Bluemixクラウド開発入門 Devlivery Pipeline デモBluemixクラウド開発入門 Devlivery Pipeline デモ
Bluemixクラウド開発入門 Devlivery Pipeline デモ
 
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会
ドキュメンテーションを加速するストレスフリーの作図ツール『blockdiag』 jus2011年6月勉強会
 

Mais de Kiyoshi Ogawa

Misracompliant20162020
Misracompliant20162020Misracompliant20162020
Misracompliant20162020Kiyoshi Ogawa
 
High Quality Design with Hcd and hazop
High Quality Design with Hcd and hazopHigh Quality Design with Hcd and hazop
High Quality Design with Hcd and hazopKiyoshi Ogawa
 
Deep learningwithgithubanddocker
Deep learningwithgithubanddockerDeep learningwithgithubanddocker
Deep learningwithgithubanddockerKiyoshi Ogawa
 
Deep learningwithgithubanddocker
Deep learningwithgithubanddockerDeep learningwithgithubanddocker
Deep learningwithgithubanddockerKiyoshi Ogawa
 
Who like C++ coding standard
Who like C++ coding standardWho like C++ coding standard
Who like C++ coding standardKiyoshi Ogawa
 
Who enjoy a coding standard? ver. 0.30
Who enjoy a coding standard? ver. 0.30Who enjoy a coding standard? ver. 0.30
Who enjoy a coding standard? ver. 0.30Kiyoshi Ogawa
 
Who enjoy a coding standard? ver. 0.20
Who enjoy a coding standard? ver. 0.20Who enjoy a coding standard? ver. 0.20
Who enjoy a coding standard? ver. 0.20Kiyoshi Ogawa
 
Who enjoy a coding standard?
Who enjoy a coding standard?Who enjoy a coding standard?
Who enjoy a coding standard?Kiyoshi Ogawa
 
TOPPERS as an IoT OS(kernel)
TOPPERS as an IoT OS(kernel)TOPPERS as an IoT OS(kernel)
TOPPERS as an IoT OS(kernel)Kiyoshi Ogawa
 
How can we resolve problems.
How can we resolve problems.How can we resolve problems.
How can we resolve problems.Kiyoshi Ogawa
 
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.Datamining Introduction using R with Raspbian on Raspberry Pi 3B.
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.Kiyoshi Ogawa
 
Hazop Safety and Security at Fukui 2017(2/2)
Hazop Safety and Security at Fukui 2017(2/2)Hazop Safety and Security at Fukui 2017(2/2)
Hazop Safety and Security at Fukui 2017(2/2)Kiyoshi Ogawa
 
Hazop Safety and Security at Fukui 2017(1/2)
Hazop Safety and Security at Fukui 2017(1/2)Hazop Safety and Security at Fukui 2017(1/2)
Hazop Safety and Security at Fukui 2017(1/2)Kiyoshi Ogawa
 
Hazop and triz by/of/for the children(3/3)
Hazop and triz by/of/for the children(3/3)Hazop and triz by/of/for the children(3/3)
Hazop and triz by/of/for the children(3/3)Kiyoshi Ogawa
 
Hazop and triz by/of/for the children(2/3)
Hazop and triz by/of/for the children(2/3)Hazop and triz by/of/for the children(2/3)
Hazop and triz by/of/for the children(2/3)Kiyoshi Ogawa
 
Hazop and triz by/of/for the children(1/3)
Hazop and triz by/of/for the children(1/3)Hazop and triz by/of/for the children(1/3)
Hazop and triz by/of/for the children(1/3)Kiyoshi Ogawa
 
Raspberrypitraining20171027
Raspberrypitraining20171027Raspberrypitraining20171027
Raspberrypitraining20171027Kiyoshi Ogawa
 

Mais de Kiyoshi Ogawa (20)

Misracompliant20162020
Misracompliant20162020Misracompliant20162020
Misracompliant20162020
 
High Quality Design with Hcd and hazop
High Quality Design with Hcd and hazopHigh Quality Design with Hcd and hazop
High Quality Design with Hcd and hazop
 
Deep learningwithgithubanddocker
Deep learningwithgithubanddockerDeep learningwithgithubanddocker
Deep learningwithgithubanddocker
 
Deep learningwithgithubanddocker
Deep learningwithgithubanddockerDeep learningwithgithubanddocker
Deep learningwithgithubanddocker
 
Nagoya2018
Nagoya2018Nagoya2018
Nagoya2018
 
Hazop tokyo201809
Hazop tokyo201809Hazop tokyo201809
Hazop tokyo201809
 
Who like C++ coding standard
Who like C++ coding standardWho like C++ coding standard
Who like C++ coding standard
 
Who enjoy a coding standard? ver. 0.30
Who enjoy a coding standard? ver. 0.30Who enjoy a coding standard? ver. 0.30
Who enjoy a coding standard? ver. 0.30
 
Who enjoy a coding standard? ver. 0.20
Who enjoy a coding standard? ver. 0.20Who enjoy a coding standard? ver. 0.20
Who enjoy a coding standard? ver. 0.20
 
Who enjoy a coding standard?
Who enjoy a coding standard?Who enjoy a coding standard?
Who enjoy a coding standard?
 
機械と標準
機械と標準機械と標準
機械と標準
 
TOPPERS as an IoT OS(kernel)
TOPPERS as an IoT OS(kernel)TOPPERS as an IoT OS(kernel)
TOPPERS as an IoT OS(kernel)
 
How can we resolve problems.
How can we resolve problems.How can we resolve problems.
How can we resolve problems.
 
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.Datamining Introduction using R with Raspbian on Raspberry Pi 3B.
Datamining Introduction using R with Raspbian on Raspberry Pi 3B.
 
Hazop Safety and Security at Fukui 2017(2/2)
Hazop Safety and Security at Fukui 2017(2/2)Hazop Safety and Security at Fukui 2017(2/2)
Hazop Safety and Security at Fukui 2017(2/2)
 
Hazop Safety and Security at Fukui 2017(1/2)
Hazop Safety and Security at Fukui 2017(1/2)Hazop Safety and Security at Fukui 2017(1/2)
Hazop Safety and Security at Fukui 2017(1/2)
 
Hazop and triz by/of/for the children(3/3)
Hazop and triz by/of/for the children(3/3)Hazop and triz by/of/for the children(3/3)
Hazop and triz by/of/for the children(3/3)
 
Hazop and triz by/of/for the children(2/3)
Hazop and triz by/of/for the children(2/3)Hazop and triz by/of/for the children(2/3)
Hazop and triz by/of/for the children(2/3)
 
Hazop and triz by/of/for the children(1/3)
Hazop and triz by/of/for the children(1/3)Hazop and triz by/of/for the children(1/3)
Hazop and triz by/of/for the children(1/3)
 
Raspberrypitraining20171027
Raspberrypitraining20171027Raspberrypitraining20171027
Raspberrypitraining20171027
 

Último

モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察 ~Text-to-MusicとText-To-ImageかつImage-to-Music...
モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察  ~Text-to-MusicとText-To-ImageかつImage-to-Music...モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察  ~Text-to-MusicとText-To-ImageかつImage-to-Music...
モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察 ~Text-to-MusicとText-To-ImageかつImage-to-Music...博三 太田
 
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?akihisamiyanaga1
 
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineerYuki Kikuchi
 
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)UEHARA, Tetsutaro
 
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案sugiuralab
 
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NTT DATA Technology & Innovation
 
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdf
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdfAWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdf
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdfFumieNakayama
 
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)Hiroshi Tomioka
 
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdfクラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdfFumieNakayama
 

Último (9)

モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察 ~Text-to-MusicとText-To-ImageかつImage-to-Music...
モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察  ~Text-to-MusicとText-To-ImageかつImage-to-Music...モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察  ~Text-to-MusicとText-To-ImageかつImage-to-Music...
モーダル間の変換後の一致性とジャンル表を用いた解釈可能性の考察 ~Text-to-MusicとText-To-ImageかつImage-to-Music...
 
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?
CTO, VPoE, テックリードなどリーダーポジションに登用したくなるのはどんな人材か?
 
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer
自分史上一番早い2024振り返り〜コロナ後、仕事は通常ペースに戻ったか〜 by IoT fullstack engineer
 
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)
デジタル・フォレンジックの最新動向(2024年4月27日情洛会総会特別講演スライド)
 
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
TataPixel: 畳の異方性を利用した切り替え可能なディスプレイの提案
 
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
 
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdf
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdfAWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdf
AWS の OpenShift サービス (ROSA) を使った OpenShift Virtualizationの始め方.pdf
 
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)
業務で生成AIを活用したい人のための生成AI入門講座(社外公開版:キンドリルジャパン社内勉強会:2024年4月発表)
 
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdfクラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
クラウドネイティブなサーバー仮想化基盤 - OpenShift Virtualization.pdf
 

Xilinx2013d

  • 1. Xilinx 設計統合環境操作の覚 え ISE 12.4 を題材として ver3.0 論理回路設計研修 © 渡部謹二 , 小川清 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 1
  • 2. この資料について  論理回路研修の補助資料です  研修以外の目的での使用はご遠慮ください  最新の情報は Xilinx.com の資料でご確認くだ さい  誤り等を見つけられた場合は名古屋市工業 研究所 小川清までご連絡ください  kaizen@wh.commufa.jp  http://researchmap.jp/kaizen/STARC RTL 設計 スタイルガイド kinji, kaizen@wh.commufa.jp 2013/02/27 (c) watabe / 2
  • 3. 目次  設計統合環境による「プロジェクト」 管理  ISim による模擬試験 (simulation)  PlanAhead によるピン割り当て  設計統合環境による回路生成  iMPACT による回路の実装 (download) 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 3
  • 4. 設計統合環境による 「プロジェクト」管 理 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 4
  • 5. はじめに設計統合環境による「プロジェクト」 管理  設計統合環境は設計の道具を統合した環境で す  「プロジェクト」という纏まりで構成を管理して います。  「プロジェクト」の管理方法について説明します  「プロジェクト」は「フォルダ」と対応していま す。  うまく動いた「プロジェクト」の「フォルダ」を 複写して、基準線 (base line) は手をつけないよう にします。 (c) watabe kinji, kaizen@wh.commufa.jp 2013/02/27 5
  • 6. 設計統合環境の起動 設計統合環境による「プロジェクト」管理  デスクトップ上の「 Xilinx ISE Design Suite 12.4 」アイコンをダブルクリック する  「スタートボタン」から選択しても起動す る 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 6
  • 7. プロジェクト設計統合環境によるプロジェ クト管理  プロジェクトで 1 つの開発物の管理をしま す  Verilog HDL( 回路記述、模擬試験 (simulation) 記述 )  UCF (回路配置配線制約記述、時間制約記述)  回路図(回路記述) , 実装用ファイル  プロジェクトの新規作成と既存のプロジェ クトの開き方を示します 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 7
  • 8. 「プロジェクト」の新規作成 設計統合環境による「プロジェクト」管理 ①File-> New Project をク リック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 8
  • 9. ① Name,  プロジェクトの名 前 Location, プロジェクトの 位置 Working Directory  プロジェクトを保存する フォルダ名 を記入 ②Next ボタンを押 す 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 9
  • 10. ① 使用するデバイスの情 報を記入する(デバイス のプリントを参考に) ②  使用する言語、ツー ルの情報を記入する ③Next ボタンを押す 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 10
  • 11. 既存の「プロジェクト」を開 く 設計統合環境による「プロジェクト」管理 既存のプロジェクトを開く場 合、 File->Open Project メニュー をクリックする ダイアログボックスでプロ ジェクトファイルを指定すれ ば読み込みます 古い形式のプロジェクトファ イル( .ise )は、新しい形式 (.xise) に変換します(不可 逆) 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 11
  • 12. VerilogHDL 回路記述ファイルの作成・編 集 設計統合環境による「プロジェクト」管理  Verilog HDL は回路を記述する用途と、試 験台 (test bench) を記述する用途がありま す  回路記述ファイルの場合を示します  新規にファイルを作成し「プロジェクト」に追 加する手順  既存のファイルを「プロジェクト」に追加する 手順 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 12
  • 13. VerilogHDL 回路記述ファイルの作成と 「プロジェクト」への追加 設計統合環境による「プロジェクト」管理 ①View を Implementation にしておく ②Design ウインドウで右 クリック、 New Source をクリックする 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 13
  • 14. ① Source Type を選 ② ファイル名を記入する 択する 回路設計を行う場合 は Verilog Module を 選択 ③Add to project に チェックが入っている ことを確認 2013/02/27 Next ボタンを押す (c) watabe kinji, kaizen@wh.commufa.jp 14
  • 15. Next ボタンを押す(このステップをパス する) 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 15
  • 16. 既存ファイルの「プロジェクト」へ の追加 設計統合環境による「プロジェクト」管理 ①Design ウインドウで右ク リック Add Source または Add Copy of Source をクリック ②  ファイル選択ダイアロ グで「プロジェクト」へ追 加するファイルを選択する ③ 追加されたかどうかを Design ウインドウの階層表 示で確認する  Add copy of source は 異なるフォルダにある ファイルを使う場合 kinji, kaizen@wh.commufa.jp 2013/02/27 (c) watabe 16
  • 17. VerilogHDL 回路記述ファイルの編集 設計統合環境による「プロジェクト」管理 View の選択: 回路設計の場合は Implementation Design ウインドウの ファイルをダブルク リックするとエディ タで編集できる 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 17
  • 18. 試験台 (verilog test fixture) の作 成・編集 設計統合環境による「プロジェクト」管理  試験台 (verilog test fixture) 記述ファイ ルの新規作成・編集方法を示します  既存のファイルのプロジェクトへの追加方 法は VerilogHDL 回路記述ファイルと同じ です 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 18
  • 19. ①View を Simulation にする ②Design ウインドウで右クリッ ク、 NewSource をクリック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 19
  • 20. ① Verilog Test Fixture を 選択 ② File name ファイル名 を入力(命名規則にした がってください。ここで は tb_ を先頭に付加) ③ Add to Project に チェックがあることを確 認して Next 2013/02/27 ボタンを押す (c) watabe kinji, kaizen@wh.commufa.jp 20
  • 21. ① テスト対象のモ ジュール(トップモ ジュール)を選択 ②Next ボタンを押す 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 21
  • 22. Finish ボタンを押す  試験対象 ファイルに 応じたソー スを自動生 成します。 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 22
  • 23. ISim による模擬試験 (simulation) 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 23
  • 24. はじめに ISim による模擬試験 (simulation)  ISE シミュレータ( ISim )を使った模擬試 験 (simulation) について説明します  模擬試験に当たっては回路記述と試験台 (verilog test fixture) ファイルを記述します . test bench と言う場合もあります。  以下の道具の使い方を示します  信号を観測する  模擬試験 (simulation) を制御する  中断点 (break point) を設定する 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 24
  • 25. シミュレータの起動 ①View の Simulation を選択 ②Design ウインドウの模擬 試験する試験台 (test fixture) を選択 ③Simulate Behavioal Model をダブルクリック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 25
  • 26. ISim 模擬試験の様子  メニューやアイ コンで操作  Waveform ウイ ンドウで波形を 観測  Console ウイン ドウでコマンド を打ち込む、 メッセージを確 認するなどでき る 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 26
  • 27. 信号を観測する ①Instance and Process Name ウインドウで選択す る ②Objects ウインドウで 見たい信号を Wave ウイ ンドウにドラッグ&ド ロップ ③ 信号を追加する 信号の変化が観測されない場合は試験 しなおす( Restart ) 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 27
  • 28. 信号を観測する  示された波形は以下の View ツールを使って観測する  View ツールバーを表示  View > Toolbars > View をクリック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 28
  • 29. 模擬試験 (simulation) の制御  一気に試験をして信号を観察するほかに、段階的に試験を行う ことができる  View→Toolbars→ISim をクリックして Isim ツールバーを表示す る 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 29
  • 30. 模擬試験 (simulation) の制御 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 30
  • 31. 模擬試験 (simulation) の制御  Run All コマンドを発行すると模擬試験 (simulation) が終了しない場合があるので注 意  試験台 (test fixture) に $stop などシステムタスク をいれる  pause,stop コマンドで模擬試験を一時停止する 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 31
  • 32. 中断点 (break point) を設定す る  ソースコード行ごとに挙動を確認できる 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 32
  • 33. Source ウインドウの行番号の右側で ダブルクリッククリックをすると中 断点を挿入する もう一度押すと削除  模擬試験 (simulation) が 中断点 (break point) に 達すると一時停止する  その後ステップ実行をつ かい 1 行ごとの信号の推 移を確認できる 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 33
  • 34. デバッグの様子 一時停止の状態でソース コードのレジスタにカー ソルを合わせるとその時 点での値を表示する  信号波形観測だけで なくコンソール出力 、ファイル出力など を利用して模擬試験 を効率化しよう 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 34
  • 35. PlanAhead によるピン割り当 て 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 35
  • 36. はじめに PlanAhead によるピン割り当て  UCF(user constraints file: 利用者制約 ファイル ) に回路 I/O のピン割り当て、 時間制約、配置配線制約などを記述でき ます  I/O のピン割り当ての方法を示します 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 36
  • 37. UCF の作成 PlanAhead によるピン割り当て ①View を Implementation にする ②Design ウインドウ でトップモジュールの ファイルを選択する ③Process ウインドウ で I/O Pin Plannning (Plan Ahead) – Pre- Synthesis をダブルクリック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 37
  • 38. ピン割り当て PlanAhead によるピン割り当て ① タブを I/O Ports にす る ② 編集したいポートを選択 する ③ タブを General にする ④ ポートに割りあてたい ピンの番号を記入する 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 38
  • 39. ピン割り当て PlanAhead によるピン割り当て ⑤ タブを Configure にして ピンのバッファ設定を行な う I/O Standard, Drive Strength, Slew Type, Pull Type の設定ができる ファイル保存には File->Save Design メニュー を PlanAhead の終了には File->Exit メニューを をクリックする 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 39
  • 40. UCF の確認 PlanAhead によるピン割り当て ファイル保存を行な うと最上位モジュー ルと同じ名前の UCF を「プロジェクト」 に追加 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 40
  • 41. 設計統合環境による回路生成 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 41
  • 42. はじめに設計統合環境による回路生成  正しく VerilogHDL 回路記述し、制約条 件を記述すれば回路合成、実装、ビッ トファイルの生成を経て FPGA に書き 込み可能なデータに変換する  以上の工程を一括して行う方法を示します 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 42
  • 43. プログラムファイルの生成 設計統合環境による回路生成 ①Implementation を選択 ② コンパイル対象のトップモ ジュール(階層のトップ)を 選択 ③Process ウインドウの Generate Programming File をダブルクリップ ④Console ウインドウにコ ンパイルの進捗状況を表示 する 成功すれば Process "Generate Programming File" 43 completed successfully (c) watabe kinji, kaizen@wh.commufa.jp 2013/02/27
  • 44. iMPACT による回路の書き込み 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 44
  • 45. はじめに iMPACT による回路の書き込み  ビットファイルを FPGA に書き込 み (download) 手順を示します  FPGA モード設定  PC と FPGA 基盤の接続  iMPACT の使い方 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 45
  • 46. FPGA モード設定  FPGA のコンフィグ レーションモードピ ンで設定  この基盤では Slave Serial Mode 固定  通常の基盤であると モードピンをスイッチ で設定するので注意 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 46
  • 47. PC と基盤の接続  25 ピンパラレル ケーブルで PC と 接続写真 FPGA 基盤を接続 する  AC アダプタを FPGA 基盤に接続 する 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 47
  • 48. iMPACT の使い方 ①   Process ウインドウの Generate Programming File を展開 ②   Configure Device (iMPACT) をダブルクリッ ク 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 48
  • 49. エラーにより iMPACT が設計 統合環境より起 動できない場合 スタートメニュー -> すべてのプログラム - > Xilinx ISE Design Suite 12.4 -> ISE デザインツール -> ツール -> iMPACT 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 49
  • 50. ①Boundary Scan を ダブルクリック ② 右クリックして Initialize Chain  をク リック 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 50
  • 51. ① 数珠繋ぎになっているデ バイスを表示する ※ 表示しない場合は 電源接続、 JTAG 接 続、 JTAG モード設定を確 認する ② コンフィグレーション ファイル(書き込みファイ ル)を聞いてくるので Yes ボタンを押す 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 51
  • 52. 「コンフィグ レーションデー タの準備」で作 成した bit ファイ ルを選択  Open ボタンを押 下 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 52
  • 53. Device Programming Properties Dialog Box 「 Pulse PROG This instruction is supported in Spartan, Virtex®FPGAs. When you select the Pulse PROG option, a special program instruction is sent to the device that causes the PROG signal to be pulsed to clear the device configuration memory prior to initiating the configuration sequence. 」 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 53
  • 54. SPI, BPI ROM が関係なければ No 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 54
  • 55. 右クリックして Program を押す 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 55
  • 56. OK ボタンを押下 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 56
  • 57. 書き込みが成功すると Program Suceeded と 表示する FPGA は書き込み成功 するとそのまま動作す る  失敗すると Program Fail と赤く表示する。ケーブル が緩んでいても失敗する。接続を確認して再度実行 してみる。 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 57
  • 58. プログラム書き込み (download) について  PROM への書き込みは iMPACT で出来 ます  操作は異なりますので注意してください 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 58
  • 59. 参考事項  回路図設計 ,IP の利用については 「 FSM 設計詳細(シリア ル) .ppt 」で説明していますの で参照ください  参考  FPGA ボードで学ぶ Verilog HDL を 使用 ( 設計統合環境は xilinx.com か ら)  Spartan3e データシー ds099- 2 2013/02/27 ) (c) watabe kinji, kaizen@wh.commufa.jp ( v1.4 59
  • 60. 履歴  2010/9/1   ISE 12.2、 ModelSim XE III 向けに作成  2011/4/13   ISE12.4 向け、 ISE Simulator 向けに改訂  2011/4/13 2009/3/9 「コンフィグレー ション方法 .ppt 」ファイルを一本化  2011/4/20 PlanAhead 資料を追加  2013/2/13 全体を見直し 2013/02/27 (c) watabe kinji, kaizen@wh.commufa.jp 60

Notas do Editor

  1. コンフィグレーション回路、コンフィグレーションモードなどの詳細は Spartan3e のデータシート ds099-2 に記載されている。 Pfd フォルダに spartan3ds_j.pdf という名前で日本語版を保存。
  2. ザイリンクス製の FPGA は SRAM で構成されている。そのため電源をオフにするとデータがなくなるので、電源投入時に毎回コンフィグレーションする必要がある。 今回用いる基盤にはついていないが、コンフィグレーション用の ROM を外付けしたり、コンフィグレーション ROM 内蔵型の FPGA もある。アルテラ製の FPGA にはフラッシュ ROM で構成されている製品があり、これは電源投入ごとにコンフィグレーションする必要がない。