SlideShare uma empresa Scribd logo
1 de 4
Manjunath Kudari
No 134, Nobo Nagar
Bannerghatta Road, Email: manjunath_k@live.in
Bangalore- 560076 Mobile: +91 9611729906
_______________________________________________________________________
Summary of Qualifications
 Good understanding of the ASIC and FPGA design flow
 Experience in writing RTL models in Verilog HDL and
Testbenches in SystemVerilog.
 Very good knowledge in verification methodologies, UVM
 Experience in using industry standard EDA tools for the front-end design and
verification
Languages : Basics of C, C++, Verilog, System Verilog.
Areas of interest : VLSI, ASIC Design, FPGA, System Verilog, Embedded Systems,
Microcontrollers
Operating systems : Windows Server 2008 R2, Windows 7, Windows XP, Windows 8
Mac OS 10.7(Lion), Linux Red hat 6, Ubuntu.
VLSI Domain Skills
HDLs: Verilog
HVL: SystemVerilog
Verification Methodologies: Coverage Driven Verification
TB Methodology: UVM
EDA Tool: Modelsim/Questa sim and ISE
Domain: ASIC/FPGA Design Flow, Digital Design methodologies
Knowledge: RTL Coding, FSM based design, Simulation,
Code Coverage, Functional Coverage, Synthesis,
Static Timing Analysis, Assertion Based Verification.
Professional Qualification
Maven Silicon Certified Advanced VLSI Design and Verification course
Maven Silicon VLSI Design and Training Center, Bangalore
Year: June 2013 – October 2013
Bachelor of Engineering SJB Institute of Technology, Bangalore
Visvesvaraya Technological University Belgaum, Karnataka, India
Discipline: Electronics and Communication Engineering.
Aggregate Percentage: 65% .
Year: 2007 - 2011.
Technical Papers:
.
Adaptive approach for safety control & security system modification in computer
systems and networks.
Experience
Dec 2011 – Feb 2013, TCS Mumbai, System Engineer.
Roles and Responsibilities:
 Installed, upgraded and maintenance of server hardware components as per
requirement.
 Creating standard process for group policy deployment.
 Active Directory users and groups management.
 Implementation and administration of DNS, DHCP.
 Creating standard Net-backup policies for servers.
 Patch management – Installing Patches on Servers and Client Computers.
 Business Continuity Process (BCP) Co-ordination & Support.
 Conducting monthly meetings with Team Lead’s .
Achievements:
 Awarded for setting up a project by handling activities end-to-end.
 On the Spot Award for solving an issue relating to Server.
 ITIL Certification(TCS internal)
VLSI Projects
Dual Port RAM – verification
HVL: System Verilog
EDA Tools: Model sim, Questa – Verification Platform and ISE
 Implemented the Dual Port Ram using Verilog HDL.
 Architected the class based verification environment using system Verilog.
 Verified the RTL module using System Verilog.
 Generated functional and code coverage for the RTL verification.
Router 1x3 – RTL design and Verification
HDL: Verilog
HVL: SystemVerilog
EDA Tools: Model sim, Questa -- Verification Platform and ISE
Description: The router accepts data packets on a single 8-bit port from the source and
routes the packets to one of the three output clients depending on the destination address
present in the header byte.
 Architected the design and described the functionality using Verilog HDL.
 Synthesized the design.
 Architected the class based verification environment using system Verilog.
 Verified the RTL model using UVM.
 Generated functional and code coverage for the RTL verification.
SPI Controller Core - Verification
HVL: System Verilog
EDA Tools: Modelsim, Questa -- Verification Platform
Description : The SPI Controller Core is an interface between wishbone compatible
Master Device and SPI interface Slave device. It supports variable length of transfer word
and the core can be configured for 1 to 32 bit, 64 & 128 bit. It supports data latching and
data transfer at both edges of clock. This core can be configured to connect with 32
slaves. The SPI Clock frequency can be adjusted by configuring desirable value in 32 bit
clock divider register. The SPI Core RTL is technology independent and fully
synthesizable.
 Architected the class based verification environment using system Verilog
 Verified the RTL module using System Verilog
 Generated functional and code coverage for the RTL verification sign-off
Engineering Project
Voice Controlled Automation System.
Jan 2011 – June 2011 , SJB Institute of Technology, Bangalore.
 Used to automate the tasks that require hands-on human interaction using Speech
Recognition Method.
 Firstly trial samples are created and stored in the database.
 When a command is given it is compared with the samples present in the database
created, the task is executed if it matches with the any of the samples present.
 Tool used is MatLab.
Personal Details:
Date of Birth : 15th
Oct 1989
Father name : S.B.Kudari
Permanent address : # 30 Vijayananda Nagar, near R C Nagar, Dharwad
580001
Nationality : Indian
Language Known : Kannada, English, Hindi.
References
On Request

Mais conteúdo relacionado

Mais procurados (19)

Hardik_VLSI_Resume
Hardik_VLSI_ResumeHardik_VLSI_Resume
Hardik_VLSI_Resume
 
Mallikarjun_Resume
Mallikarjun_ResumeMallikarjun_Resume
Mallikarjun_Resume
 
gnaneshwar.resume
gnaneshwar.resumegnaneshwar.resume
gnaneshwar.resume
 
Mesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_VerificationMesa_Yogananda_ASIC_FPGA_Verification
Mesa_Yogananda_ASIC_FPGA_Verification
 
Ramprasad-CV_3+yrs
Ramprasad-CV_3+yrsRamprasad-CV_3+yrs
Ramprasad-CV_3+yrs
 
RESUME_VLSI
RESUME_VLSIRESUME_VLSI
RESUME_VLSI
 
Indresh_Yadav_Resume
Indresh_Yadav_ResumeIndresh_Yadav_Resume
Indresh_Yadav_Resume
 
jayesh_resume
jayesh_resumejayesh_resume
jayesh_resume
 
Resume
ResumeResume
Resume
 
Tarun Makwana's Resume
Tarun Makwana's ResumeTarun Makwana's Resume
Tarun Makwana's Resume
 
updated resume ---III
updated resume ---IIIupdated resume ---III
updated resume ---III
 
Shivani_Saklani
Shivani_SaklaniShivani_Saklani
Shivani_Saklani
 
Raviiii
RaviiiiRaviiii
Raviiii
 
Resume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - CopyResume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - Copy
 
Resume_Akshay_Deshpande
Resume_Akshay_DeshpandeResume_Akshay_Deshpande
Resume_Akshay_Deshpande
 
srilaxmi-resume
srilaxmi-resumesrilaxmi-resume
srilaxmi-resume
 
Ravikanth Resume
Ravikanth ResumeRavikanth Resume
Ravikanth Resume
 
Resume16AugV
Resume16AugVResume16AugV
Resume16AugV
 
Resume srishail upadhye
Resume srishail upadhyeResume srishail upadhye
Resume srishail upadhye
 

Semelhante a Cv of manjunath kudari (20)

Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
Guttikonda_Bhargav_verification_eng_2years
Guttikonda_Bhargav_verification_eng_2yearsGuttikonda_Bhargav_verification_eng_2years
Guttikonda_Bhargav_verification_eng_2years
 
Resume
ResumeResume
Resume
 
Resume
ResumeResume
Resume
 
Sangeetha_G
Sangeetha_GSangeetha_G
Sangeetha_G
 
Sarvesh_kumar
Sarvesh_kumarSarvesh_kumar
Sarvesh_kumar
 
Hemanth_Krishnan_resume
Hemanth_Krishnan_resumeHemanth_Krishnan_resume
Hemanth_Krishnan_resume
 
Rashmi_Resume
Rashmi_ResumeRashmi_Resume
Rashmi_Resume
 
Resume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrsResume_Anurag_Design_Verification_2+_yrs
Resume_Anurag_Design_Verification_2+_yrs
 
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQLManmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
 
CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016
 
JeanJacob
JeanJacobJeanJacob
JeanJacob
 
Prasad_Meduri
Prasad_MeduriPrasad_Meduri
Prasad_Meduri
 
Bindu_Resume
Bindu_ResumeBindu_Resume
Bindu_Resume
 
PARTH DESAI RESUME
PARTH DESAI RESUMEPARTH DESAI RESUME
PARTH DESAI RESUME
 
SWETHA PAMUDURTHI CHANDRASEKHARRAJU
SWETHA  PAMUDURTHI  CHANDRASEKHARRAJUSWETHA  PAMUDURTHI  CHANDRASEKHARRAJU
SWETHA PAMUDURTHI CHANDRASEKHARRAJU
 
Resume
ResumeResume
Resume
 
Apoorva Tripathi
Apoorva Tripathi Apoorva Tripathi
Apoorva Tripathi
 
Kannan_Resume
Kannan_ResumeKannan_Resume
Kannan_Resume
 
Vlsi design services
Vlsi design servicesVlsi design services
Vlsi design services
 

Cv of manjunath kudari

  • 1. Manjunath Kudari No 134, Nobo Nagar Bannerghatta Road, Email: manjunath_k@live.in Bangalore- 560076 Mobile: +91 9611729906 _______________________________________________________________________ Summary of Qualifications  Good understanding of the ASIC and FPGA design flow  Experience in writing RTL models in Verilog HDL and Testbenches in SystemVerilog.  Very good knowledge in verification methodologies, UVM  Experience in using industry standard EDA tools for the front-end design and verification Languages : Basics of C, C++, Verilog, System Verilog. Areas of interest : VLSI, ASIC Design, FPGA, System Verilog, Embedded Systems, Microcontrollers Operating systems : Windows Server 2008 R2, Windows 7, Windows XP, Windows 8 Mac OS 10.7(Lion), Linux Red hat 6, Ubuntu. VLSI Domain Skills HDLs: Verilog HVL: SystemVerilog Verification Methodologies: Coverage Driven Verification TB Methodology: UVM EDA Tool: Modelsim/Questa sim and ISE Domain: ASIC/FPGA Design Flow, Digital Design methodologies Knowledge: RTL Coding, FSM based design, Simulation, Code Coverage, Functional Coverage, Synthesis, Static Timing Analysis, Assertion Based Verification. Professional Qualification Maven Silicon Certified Advanced VLSI Design and Verification course Maven Silicon VLSI Design and Training Center, Bangalore Year: June 2013 – October 2013
  • 2. Bachelor of Engineering SJB Institute of Technology, Bangalore Visvesvaraya Technological University Belgaum, Karnataka, India Discipline: Electronics and Communication Engineering. Aggregate Percentage: 65% . Year: 2007 - 2011. Technical Papers: . Adaptive approach for safety control & security system modification in computer systems and networks. Experience Dec 2011 – Feb 2013, TCS Mumbai, System Engineer. Roles and Responsibilities:  Installed, upgraded and maintenance of server hardware components as per requirement.  Creating standard process for group policy deployment.  Active Directory users and groups management.  Implementation and administration of DNS, DHCP.  Creating standard Net-backup policies for servers.  Patch management – Installing Patches on Servers and Client Computers.  Business Continuity Process (BCP) Co-ordination & Support.  Conducting monthly meetings with Team Lead’s . Achievements:  Awarded for setting up a project by handling activities end-to-end.  On the Spot Award for solving an issue relating to Server.  ITIL Certification(TCS internal) VLSI Projects Dual Port RAM – verification HVL: System Verilog EDA Tools: Model sim, Questa – Verification Platform and ISE
  • 3.  Implemented the Dual Port Ram using Verilog HDL.  Architected the class based verification environment using system Verilog.  Verified the RTL module using System Verilog.  Generated functional and code coverage for the RTL verification. Router 1x3 – RTL design and Verification HDL: Verilog HVL: SystemVerilog EDA Tools: Model sim, Questa -- Verification Platform and ISE Description: The router accepts data packets on a single 8-bit port from the source and routes the packets to one of the three output clients depending on the destination address present in the header byte.  Architected the design and described the functionality using Verilog HDL.  Synthesized the design.  Architected the class based verification environment using system Verilog.  Verified the RTL model using UVM.  Generated functional and code coverage for the RTL verification. SPI Controller Core - Verification HVL: System Verilog EDA Tools: Modelsim, Questa -- Verification Platform Description : The SPI Controller Core is an interface between wishbone compatible Master Device and SPI interface Slave device. It supports variable length of transfer word and the core can be configured for 1 to 32 bit, 64 & 128 bit. It supports data latching and data transfer at both edges of clock. This core can be configured to connect with 32 slaves. The SPI Clock frequency can be adjusted by configuring desirable value in 32 bit clock divider register. The SPI Core RTL is technology independent and fully synthesizable.  Architected the class based verification environment using system Verilog  Verified the RTL module using System Verilog  Generated functional and code coverage for the RTL verification sign-off Engineering Project Voice Controlled Automation System. Jan 2011 – June 2011 , SJB Institute of Technology, Bangalore.  Used to automate the tasks that require hands-on human interaction using Speech Recognition Method.
  • 4.  Firstly trial samples are created and stored in the database.  When a command is given it is compared with the samples present in the database created, the task is executed if it matches with the any of the samples present.  Tool used is MatLab. Personal Details: Date of Birth : 15th Oct 1989 Father name : S.B.Kudari Permanent address : # 30 Vijayananda Nagar, near R C Nagar, Dharwad 580001 Nationality : Indian Language Known : Kannada, English, Hindi. References On Request