SlideShare uma empresa Scribd logo
1 de 16
Photomask Fabrication
Unit 2 Photomask Fabrication
By Dr. Ghanshyam Singh
Sharda University
Photomask Fabrication
A mask, or photomask, is a glass or quartz plate coated on
one side with chrome.
What is Conventional Mask??
Conventional Mask pattern generator uses step-and -repeat
and contact printing photolithography method to transfer the
circuit layout designs from CAD into the photomask for circuit
requiring minimum line width of < 1µm.
What is Modern mask??
Modern mask pattern generation systems use precision lasers
or electron beams to image the design of one layer of an
integrated circuit (IC), or chip, onto the mask especially for
circuit requiring minimum line width of <1µm. After the design
has been exposed on the mask, the pattern is etched into the
chrome, and the mask is inspected.
Mask-making process Flow
CIRCUIT Layout
Digitizing
Flow A Pattern
Generation (reticle)
CIRCUIT Layout
Flow B Reticle Flow C Master plate
Master Plate
processing
Contact print
substrate
Working plate
processing
Wafer exposure
(Contact, proximity, projection)
Reticle Processing
Wafer exposure
(stepper)
Mask Processing
Wafer exposure
(proximity, Projection)
Conventional Modern
Laser/ E-beam direct write
Conventional Photomask
Fabrication
1. Circuit Layout
2. Data Digitising
3. Photomask Coat Materials and Sizes
4. Pattern Generation
5. Step & repeat reduction into master copy
mask plate
6. Contact print working mask plate
Circuit Layout
In the circuit design process,
patterns which represent the
circuits are created by the chip
designer. These patterns are
then sent via magnetic media or
electronically to the mask shop
where the pattern data is
prepared for mask
manufacturing.
Data Digitising
Early photomasks were cut by hand in a material called
rubylith, a sandwich of a clear backing layer and a thin
red layer of Mylar. The red layer was cut with a stylus
and peeled off, leaving the desired pattern in red. The
original rubylith copy of the mask was 100 to 1000 times
larger than the final integrated circuit and was
photographically reduced to form a reticle for use in a
step-and-repeat camera. Today, computer graphics
systems and optical pattern have largely supplanted the
use of rubylith. An image of the desired mask is created
on a computer graphics system.
Photomask Coat Materials and
Sizes
The primary material used to make a mask is a
quartz substrate that has a layer of chrome on one
side. The chrome layer is covered with an anti-
reflective coating and a photosensitive resist. Mask
sizes range from three to nine inches square, but
most masks produced today are five or six inches
square.
Pattern Generation
Once the image is complete, files containing the
commands needed to drive a photolithography
pattern generator are created on magnetic tape or
disks. A pattern generator consists of a light source
and a series of motor-driven shutters. The pattern
generator uses 4 flash lamps to expose the series of
rectangles composing the mask image directly onto a
blank photographic plate called the reticle.
The chrome-covered mask or reticle coated with a
layer of photoresist is moved under the light source
as the shutters are moved and opened to allow
precisely shaped patterns of light to shine onto the
resist creating the desired pattern.
Pattern Generation (Continued)
The reticle or mask is processed through the
development, develop inspect, chrome etch, resist
stripping, and final inspection steps that transfer
the pattern permanently into the chrome layer as
follow:
(a) Etch and resist stripping
(b) Defect Inspection
(c) Repair
(d) Metrology Inspection
(e) Cleaning
(f) Final Inspection
Step & Repeat reduction
After the creation of the reticle of the circuit image,the
reticle pattern is transferred to a new resist-coated
mask blank by a step-&-repeat camera in order to
reduce the reticle images into the final size on a master
copy mask plate. After each of the exposure steps, the
reticle or mask is processed through the development,
develop inspect, chrome etch, resist striping, &final
inspection that transfer the pattern permanently into the
chrome layer of the master copy mask plate. This
process gives a master copy of the actual circuit
features.
Contact print working mask plate
The master copy mask plate is then used to create
multiple working mask plates in a contact printer
using photolithography. This tool brings the master
copy mask into contact with a resist-coated mask
blank and has a UV light source for transferring the
image from the master copy plate into the working
copy plate. After the exposure steps the reticle or
mask is processed through the development,
develop inspect, chrome etch, resist stripping, &
final inspection that transfer the pattern permanently
into the chrome layer of the working mask plate.
Inspections are again very critical since any
undetected mistake or defect has the potential of
creating thousands of scrap wafers.
Advanced Photomask Fabrication
For ULSI fabrication, the minimum features or line
widths of the devices in the circuits are reduced from
1µm to approaching 0.1 µm or lower. This requires
modern mask pattern generation systems that use
precision lasers or electron beams or writers to image
the design from CAD of an integrated circuit (IC), or
chip, onto the mask. This method is fast, direct and
requires fewer processing steps, but the image writer
systems used are much more expensive.
Advanced Photomask Fabrication
X-ray mask fabrication
Target parameter: <0.15µm minimum feature size; then the width of the
absorber pattern should be controlled within less than 10nm
Conventional method:
(a) A silicon wafer is usually used as a starting material,
(b) The silicon wafer is coated with layer of the membrane material such as
silicon nitride or silicon carbide.
(c) The back of the silicon wafer is patterned lithographically to protect the outer
ring. The membrane material at the backside of the silicon wafer is then etched
away,
(d) The silicon wafer is etched through backside in a long silicon etch that
remove most of the silicon wafer with the remaining wafer material forming a
ring beneath the membrane.
(e) The ring is then bonded onto a glass ring for additional strength and
mechanical stability.
X-ray Mask
Fabrication(Continued)
(f) The next process is to deposit the absorber layer on the membrane.
A thin gold or tantalum is deposited by electroplating on the
completed mask blank, followed by a thick stencil resist layer which
is baked. Thin layers of chromium and imaging resist are deposited
subsequently on the stencil resist.
(g) The top imaging resist layer is exposed, developed and used to
pattern the chromium in chromium etch solution.
(h) The patterned chromium acts as hard etch mask during the RIE
etch of the thick stencil resist.
(i) The chromium is then stripped and a layer of gold is then deposited
on the resist trenches by electroplating.
(j) Finally the resist is stripped and the gold/tantalum base is removed
leaving the patterned gold absorber layer on the membrane.
Problems in X-ray mask
fabrication
Distortion of mask (membrane and absorber) patterns
a) placement errors associated with e-beam writing on
the resist patterning that is transferred to the mask
membrane and absorber patterns.
b) non-uniform clamping of the mask
c) different thermal expansion of the different layers that
may change the stress on the mask materials during
processing and thus different degree of relaxation.

Mais conteúdo relacionado

Mais procurados

Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
sumang89
 
7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech
Bhargav Veepuri
 

Mais procurados (20)

Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
 
Negative Photoresists in photolithography
Negative Photoresists in photolithographyNegative Photoresists in photolithography
Negative Photoresists in photolithography
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
 
Oxidation--ABU SYED KUET
Oxidation--ABU SYED KUETOxidation--ABU SYED KUET
Oxidation--ABU SYED KUET
 
7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech7. dopant diffusion 1,2 2013 microtech
7. dopant diffusion 1,2 2013 microtech
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUET
 
Plasma Etching
Plasma Etching Plasma Etching
Plasma Etching
 
Wafer cleaning
Wafer cleaningWafer cleaning
Wafer cleaning
 
X rays lithography
X rays lithographyX rays lithography
X rays lithography
 
Vlsi 2
Vlsi 2Vlsi 2
Vlsi 2
 
Photolithography
PhotolithographyPhotolithography
Photolithography
 
Alignment and Exposure System
Alignment and Exposure System Alignment and Exposure System
Alignment and Exposure System
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
X-ray lithography
X-ray lithographyX-ray lithography
X-ray lithography
 
Rani
RaniRani
Rani
 
Fabrication of ic's
Fabrication of ic'sFabrication of ic's
Fabrication of ic's
 
Silicon Manufacturing
Silicon ManufacturingSilicon Manufacturing
Silicon Manufacturing
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
 
Lect12 photodiode detectors
Lect12 photodiode detectorsLect12 photodiode detectors
Lect12 photodiode detectors
 
Dry and wet etching
Dry and wet etchingDry and wet etching
Dry and wet etching
 

Destaque

Molecular beam epitaxy
Molecular beam epitaxyMolecular beam epitaxy
Molecular beam epitaxy
mehmedkoc
 
Mask Process Review
Mask Process ReviewMask Process Review
Mask Process Review
Anne
 
Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07
mehmedkoc
 
Crystal Growth &amp; Mocvd Experience3
Crystal Growth &amp; Mocvd Experience3Crystal Growth &amp; Mocvd Experience3
Crystal Growth &amp; Mocvd Experience3
Philjer
 
Computer networks--osi model
Computer networks--osi modelComputer networks--osi model
Computer networks--osi model
Aditya Mehta
 

Destaque (20)

Ch12 Tree
Ch12 TreeCh12 Tree
Ch12 Tree
 
Molecular beam epitaxy
Molecular beam epitaxyMolecular beam epitaxy
Molecular beam epitaxy
 
Mask Process Review
Mask Process ReviewMask Process Review
Mask Process Review
 
Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07
 
Crystal Growth &amp; Mocvd Experience3
Crystal Growth &amp; Mocvd Experience3Crystal Growth &amp; Mocvd Experience3
Crystal Growth &amp; Mocvd Experience3
 
Digital Communication Principle
Digital Communication PrincipleDigital Communication Principle
Digital Communication Principle
 
Part III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionPart III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor Deposition
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013
 
packaging types
packaging typespackaging types
packaging types
 
Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)
 
Molecular beam epitaxy
Molecular beam epitaxy Molecular beam epitaxy
Molecular beam epitaxy
 
Computer Network - OSI model
Computer Network - OSI modelComputer Network - OSI model
Computer Network - OSI model
 
Molecular Beam Epitaxy
Molecular Beam EpitaxyMolecular Beam Epitaxy
Molecular Beam Epitaxy
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
RADIO FREQUENCY COMMUNICATION SYSTEMS, ANTENNA THEORY AND MICROWAVE DEVICES
RADIO FREQUENCY COMMUNICATION SYSTEMS, ANTENNA THEORY AND MICROWAVE DEVICESRADIO FREQUENCY COMMUNICATION SYSTEMS, ANTENNA THEORY AND MICROWAVE DEVICES
RADIO FREQUENCY COMMUNICATION SYSTEMS, ANTENNA THEORY AND MICROWAVE DEVICES
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Cmos process flow
Cmos process flowCmos process flow
Cmos process flow
 
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
 
Computer networks--osi model
Computer networks--osi modelComputer networks--osi model
Computer networks--osi model
 

Semelhante a Photomask Fabrication

ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
mashiur
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
mashiur
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography Final
Ehud Ben Ari
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
Bhargav Veepuri
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
mashiur
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
mashiur
 

Semelhante a Photomask Fabrication (20)

Masking and lithography techniques
Masking and lithography techniquesMasking and lithography techniques
Masking and lithography techniques
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques
 
integrated circuit febrication
integrated circuit febricationintegrated circuit febrication
integrated circuit febrication
 
EUV Lithography Final
EUV Lithography FinalEUV Lithography Final
EUV Lithography Final
 
3D PRINTING
3D PRINTING3D PRINTING
3D PRINTING
 
unit3 VLSITechnology.pptx
unit3 VLSITechnology.pptxunit3 VLSITechnology.pptx
unit3 VLSITechnology.pptx
 
Optical exposures
Optical exposuresOptical exposures
Optical exposures
 
LIGA Process
LIGA ProcessLIGA Process
LIGA Process
 
Nanolithography
NanolithographyNanolithography
Nanolithography
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
Integrated Circuits
Integrated CircuitsIntegrated Circuits
Integrated Circuits
 
integratedcircuits
integratedcircuitsintegratedcircuits
integratedcircuits
 
Micro machining
Micro machiningMicro machining
Micro machining
 
Lithography
LithographyLithography
Lithography
 
2q
2q2q
2q
 
Metallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cellsMetallization techniques for high efficiency solar cells
Metallization techniques for high efficiency solar cells
 

Mais de Dr. Ghanshyam Singh (13)

Satellite networks
Satellite networksSatellite networks
Satellite networks
 
Spread spectrum technologies
Spread spectrum technologiesSpread spectrum technologies
Spread spectrum technologies
 
Multiplexing and Multiple access
Multiplexing and Multiple accessMultiplexing and Multiple access
Multiplexing and Multiple access
 
Wireless transmission
Wireless transmissionWireless transmission
Wireless transmission
 
COMPUTER COMMUNICATION NETWORKS AND INTERNET
COMPUTER COMMUNICATION NETWORKS AND INTERNETCOMPUTER COMMUNICATION NETWORKS AND INTERNET
COMPUTER COMMUNICATION NETWORKS AND INTERNET
 
FIXED TELEPHONE, MOBILE TELEPHONE AND SATELLITE COMMUNICATION SYSTEMS
FIXED TELEPHONE, MOBILE TELEPHONE AND SATELLITE COMMUNICATION SYSTEMSFIXED TELEPHONE, MOBILE TELEPHONE AND SATELLITE COMMUNICATION SYSTEMS
FIXED TELEPHONE, MOBILE TELEPHONE AND SATELLITE COMMUNICATION SYSTEMS
 
Introduction to Communication Systems
Introduction to Communication SystemsIntroduction to Communication Systems
Introduction to Communication Systems
 
Wet and Dry Etching
Wet and Dry EtchingWet and Dry Etching
Wet and Dry Etching
 
Lect1
Lect1Lect1
Lect1
 
Cvd and pvd
Cvd and pvdCvd and pvd
Cvd and pvd
 
Lecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationLecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparation
 
Introduction to semiconductor materials
Introduction to semiconductor materialsIntroduction to semiconductor materials
Introduction to semiconductor materials
 
Majority carrier diode
Majority carrier diodeMajority carrier diode
Majority carrier diode
 

Último

Maher Othman Interior Design Portfolio..
Maher Othman Interior Design Portfolio..Maher Othman Interior Design Portfolio..
Maher Othman Interior Design Portfolio..
MaherOthman7
 
Microkernel in Operating System | Operating System
Microkernel in Operating System | Operating SystemMicrokernel in Operating System | Operating System
Microkernel in Operating System | Operating System
Sampad Kar
 
ALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdfALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdf
Madan Karki
 
Online crime reporting system project.pdf
Online crime reporting system project.pdfOnline crime reporting system project.pdf
Online crime reporting system project.pdf
Kamal Acharya
 

Último (20)

Introduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and ApplicationsIntroduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and Applications
 
Piping and instrumentation diagram p.pdf
Piping and instrumentation diagram p.pdfPiping and instrumentation diagram p.pdf
Piping and instrumentation diagram p.pdf
 
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdfInstruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
 
Introduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AIIntroduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AI
 
Lesson no16 application of Induction Generator in Wind.ppsx
Lesson no16 application of Induction Generator in Wind.ppsxLesson no16 application of Induction Generator in Wind.ppsx
Lesson no16 application of Induction Generator in Wind.ppsx
 
Maher Othman Interior Design Portfolio..
Maher Othman Interior Design Portfolio..Maher Othman Interior Design Portfolio..
Maher Othman Interior Design Portfolio..
 
Low rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbineLow rpm Generator for efficient energy harnessing from a two stage wind turbine
Low rpm Generator for efficient energy harnessing from a two stage wind turbine
 
Raashid final report on Embedded Systems
Raashid final report on Embedded SystemsRaashid final report on Embedded Systems
Raashid final report on Embedded Systems
 
Interfacing Analog to Digital Data Converters ee3404.pdf
Interfacing Analog to Digital Data Converters ee3404.pdfInterfacing Analog to Digital Data Converters ee3404.pdf
Interfacing Analog to Digital Data Converters ee3404.pdf
 
Geometric constructions Engineering Drawing.pdf
Geometric constructions Engineering Drawing.pdfGeometric constructions Engineering Drawing.pdf
Geometric constructions Engineering Drawing.pdf
 
"United Nations Park" Site Visit Report.
"United Nations Park" Site  Visit Report."United Nations Park" Site  Visit Report.
"United Nations Park" Site Visit Report.
 
Microkernel in Operating System | Operating System
Microkernel in Operating System | Operating SystemMicrokernel in Operating System | Operating System
Microkernel in Operating System | Operating System
 
Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)
 
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptxSLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
 
Online book store management system project.pdf
Online book store management system project.pdfOnline book store management system project.pdf
Online book store management system project.pdf
 
ALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdfALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdf
 
Artificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian ReasoningArtificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian Reasoning
 
Supermarket billing system project report..pdf
Supermarket billing system project report..pdfSupermarket billing system project report..pdf
Supermarket billing system project report..pdf
 
NEWLETTER FRANCE HELICES/ SDS SURFACE DRIVES - MAY 2024
NEWLETTER FRANCE HELICES/ SDS SURFACE DRIVES - MAY 2024NEWLETTER FRANCE HELICES/ SDS SURFACE DRIVES - MAY 2024
NEWLETTER FRANCE HELICES/ SDS SURFACE DRIVES - MAY 2024
 
Online crime reporting system project.pdf
Online crime reporting system project.pdfOnline crime reporting system project.pdf
Online crime reporting system project.pdf
 

Photomask Fabrication

  • 1. Photomask Fabrication Unit 2 Photomask Fabrication By Dr. Ghanshyam Singh Sharda University
  • 2. Photomask Fabrication A mask, or photomask, is a glass or quartz plate coated on one side with chrome. What is Conventional Mask?? Conventional Mask pattern generator uses step-and -repeat and contact printing photolithography method to transfer the circuit layout designs from CAD into the photomask for circuit requiring minimum line width of < 1µm. What is Modern mask?? Modern mask pattern generation systems use precision lasers or electron beams to image the design of one layer of an integrated circuit (IC), or chip, onto the mask especially for circuit requiring minimum line width of <1µm. After the design has been exposed on the mask, the pattern is etched into the chrome, and the mask is inspected.
  • 3. Mask-making process Flow CIRCUIT Layout Digitizing Flow A Pattern Generation (reticle) CIRCUIT Layout Flow B Reticle Flow C Master plate Master Plate processing Contact print substrate Working plate processing Wafer exposure (Contact, proximity, projection) Reticle Processing Wafer exposure (stepper) Mask Processing Wafer exposure (proximity, Projection) Conventional Modern Laser/ E-beam direct write
  • 4. Conventional Photomask Fabrication 1. Circuit Layout 2. Data Digitising 3. Photomask Coat Materials and Sizes 4. Pattern Generation 5. Step & repeat reduction into master copy mask plate 6. Contact print working mask plate
  • 5. Circuit Layout In the circuit design process, patterns which represent the circuits are created by the chip designer. These patterns are then sent via magnetic media or electronically to the mask shop where the pattern data is prepared for mask manufacturing.
  • 6. Data Digitising Early photomasks were cut by hand in a material called rubylith, a sandwich of a clear backing layer and a thin red layer of Mylar. The red layer was cut with a stylus and peeled off, leaving the desired pattern in red. The original rubylith copy of the mask was 100 to 1000 times larger than the final integrated circuit and was photographically reduced to form a reticle for use in a step-and-repeat camera. Today, computer graphics systems and optical pattern have largely supplanted the use of rubylith. An image of the desired mask is created on a computer graphics system.
  • 7. Photomask Coat Materials and Sizes The primary material used to make a mask is a quartz substrate that has a layer of chrome on one side. The chrome layer is covered with an anti- reflective coating and a photosensitive resist. Mask sizes range from three to nine inches square, but most masks produced today are five or six inches square.
  • 8. Pattern Generation Once the image is complete, files containing the commands needed to drive a photolithography pattern generator are created on magnetic tape or disks. A pattern generator consists of a light source and a series of motor-driven shutters. The pattern generator uses 4 flash lamps to expose the series of rectangles composing the mask image directly onto a blank photographic plate called the reticle. The chrome-covered mask or reticle coated with a layer of photoresist is moved under the light source as the shutters are moved and opened to allow precisely shaped patterns of light to shine onto the resist creating the desired pattern.
  • 9. Pattern Generation (Continued) The reticle or mask is processed through the development, develop inspect, chrome etch, resist stripping, and final inspection steps that transfer the pattern permanently into the chrome layer as follow: (a) Etch and resist stripping (b) Defect Inspection (c) Repair (d) Metrology Inspection (e) Cleaning (f) Final Inspection
  • 10. Step & Repeat reduction After the creation of the reticle of the circuit image,the reticle pattern is transferred to a new resist-coated mask blank by a step-&-repeat camera in order to reduce the reticle images into the final size on a master copy mask plate. After each of the exposure steps, the reticle or mask is processed through the development, develop inspect, chrome etch, resist striping, &final inspection that transfer the pattern permanently into the chrome layer of the master copy mask plate. This process gives a master copy of the actual circuit features.
  • 11. Contact print working mask plate The master copy mask plate is then used to create multiple working mask plates in a contact printer using photolithography. This tool brings the master copy mask into contact with a resist-coated mask blank and has a UV light source for transferring the image from the master copy plate into the working copy plate. After the exposure steps the reticle or mask is processed through the development, develop inspect, chrome etch, resist stripping, & final inspection that transfer the pattern permanently into the chrome layer of the working mask plate. Inspections are again very critical since any undetected mistake or defect has the potential of creating thousands of scrap wafers.
  • 12. Advanced Photomask Fabrication For ULSI fabrication, the minimum features or line widths of the devices in the circuits are reduced from 1µm to approaching 0.1 µm or lower. This requires modern mask pattern generation systems that use precision lasers or electron beams or writers to image the design from CAD of an integrated circuit (IC), or chip, onto the mask. This method is fast, direct and requires fewer processing steps, but the image writer systems used are much more expensive.
  • 14. X-ray mask fabrication Target parameter: <0.15µm minimum feature size; then the width of the absorber pattern should be controlled within less than 10nm Conventional method: (a) A silicon wafer is usually used as a starting material, (b) The silicon wafer is coated with layer of the membrane material such as silicon nitride or silicon carbide. (c) The back of the silicon wafer is patterned lithographically to protect the outer ring. The membrane material at the backside of the silicon wafer is then etched away, (d) The silicon wafer is etched through backside in a long silicon etch that remove most of the silicon wafer with the remaining wafer material forming a ring beneath the membrane. (e) The ring is then bonded onto a glass ring for additional strength and mechanical stability.
  • 15. X-ray Mask Fabrication(Continued) (f) The next process is to deposit the absorber layer on the membrane. A thin gold or tantalum is deposited by electroplating on the completed mask blank, followed by a thick stencil resist layer which is baked. Thin layers of chromium and imaging resist are deposited subsequently on the stencil resist. (g) The top imaging resist layer is exposed, developed and used to pattern the chromium in chromium etch solution. (h) The patterned chromium acts as hard etch mask during the RIE etch of the thick stencil resist. (i) The chromium is then stripped and a layer of gold is then deposited on the resist trenches by electroplating. (j) Finally the resist is stripped and the gold/tantalum base is removed leaving the patterned gold absorber layer on the membrane.
  • 16. Problems in X-ray mask fabrication Distortion of mask (membrane and absorber) patterns a) placement errors associated with e-beam writing on the resist patterning that is transferred to the mask membrane and absorber patterns. b) non-uniform clamping of the mask c) different thermal expansion of the different layers that may change the stress on the mask materials during processing and thus different degree of relaxation.