SlideShare uma empresa Scribd logo
1 de 18
Stratix FPGA Overview ,[object Object]
Introduction ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Altera product lineup High-end FPGAs with transceiver options CPLDs ASICs Low-cost FPGAs Design software Intellectual property (IP) Development kits Programmable logic solutions for all your needs Low-cost protocol-optimized FPGAs Embedded soft-core processors
High Performance FPGAs ,[object Object],[object Object],[object Object],[object Object],www.altera.com/Stratix
Stratix for High-Performance Applications 2002 2004 2006 ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],2008 ,[object Object],[object Object],[object Object],[object Object],[object Object],130nm 90nm 65nm 40nm III
Embedded Memory in Stratix
Stratix Architecture
Clock Distribution in Stratix  ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
DSP Block in Stratix ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Stratix DSP Blocks for Multiple Applications
Stratix Device I/O Interface Features - ,[object Object],[object Object],[object Object],[object Object],[object Object]
LG Electronics Luxury Gold 71” PDP Television Altera Products Chosen: Altera Value Proposition: ,[object Object],[object Object],Industry: Consumer HDTV   Application: © 2007 Altera Corporation— Public Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation
Doremi Labs V1-HD Compressed HDTV Recorder Altera Products Chosen: Industry: Broadcast HD Video Disk Recorder   Application: “ Doremi has relied exclusively on Altera® FPGAs to implement our high bandwidth video products. Although we have been using a third-party synthesis tool, since Quartus II v2.0, we have had great success using the built-in Quartus synthesizer. We have also used the LogicLock™ feature in every design that exceeds 100K gates. LogicLock has enabled us to design, debug, meet timing, and lock down every major block of the design, and subsequently reduce compile time and interference across design blocks.” – Camille Rizko, Technical Director Altera Value Proposition: ,[object Object],[object Object],© 2007 Altera Corporation— Public Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation
Design Software ,[object Object],[object Object],[object Object],[object Object],www.altera.com/software Design Idea
Intellectual Property ,[object Object],[object Object],[object Object],www.altera.com/IPmegastore
Embedded Soft-Core Processor ,[object Object],[object Object],[object Object],[object Object],www.altera.com/NiosII Features Performance Cost Life Cycle
Summary of Benefits ,[object Object],[object Object],[object Object],[object Object]
Additional Resource ,[object Object],[object Object],[object Object],[object Object],[object Object],Newark Farnell

Mais conteúdo relacionado

Mais procurados

System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
Subash John
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
Ashu0711
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
Ashu0711
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
Team-VLSI-ITMU
 

Mais procurados (20)

System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
SOC Processors Used in SOC
SOC Processors Used in SOCSOC Processors Used in SOC
SOC Processors Used in SOC
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
SOC design
SOC design SOC design
SOC design
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
FPGA
FPGAFPGA
FPGA
 
Fpga
FpgaFpga
Fpga
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Physical design
Physical design Physical design
Physical design
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 

Destaque

Altera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of ThingsAltera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of Things
Altera Corporation
 
Xilinx lca and altera flex
Xilinx lca and altera flexXilinx lca and altera flex
Xilinx lca and altera flex
anishgoel
 

Destaque (8)

Fpga computing 14 03 2013
Fpga computing 14 03 2013Fpga computing 14 03 2013
Fpga computing 14 03 2013
 
Stratix V FPGA Intro Presentation
Stratix V FPGA Intro PresentationStratix V FPGA Intro Presentation
Stratix V FPGA Intro Presentation
 
Altera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of ThingsAltera’s Role In Accelerating the Internet of Things
Altera’s Role In Accelerating the Internet of Things
 
Using Xeon + FPGA for Accelerating HPC Workloads
Using Xeon + FPGA for Accelerating HPC WorkloadsUsing Xeon + FPGA for Accelerating HPC Workloads
Using Xeon + FPGA for Accelerating HPC Workloads
 
Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)Spartan-II FPGA (xc2s30)
Spartan-II FPGA (xc2s30)
 
CPLD xc9500
CPLD xc9500CPLD xc9500
CPLD xc9500
 
Fpga computing
Fpga computingFpga computing
Fpga computing
 
Xilinx lca and altera flex
Xilinx lca and altera flexXilinx lca and altera flex
Xilinx lca and altera flex
 

Semelhante a Stratix FPGA Overview

Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
Arvind Kumar
 
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
Eclipse IoT
 
Electronics Engineer Portfolio
Electronics Engineer PortfolioElectronics Engineer Portfolio
Electronics Engineer Portfolio
Anupama Sujith
 

Semelhante a Stratix FPGA Overview (20)

Xilinx virtex 7 fpga - Semester Presentation
Xilinx virtex 7 fpga - Semester PresentationXilinx virtex 7 fpga - Semester Presentation
Xilinx virtex 7 fpga - Semester Presentation
 
SoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based socSoC - altera's user-customizable arm-based soc
SoC - altera's user-customizable arm-based soc
 
Altera FPGA Marketing Report
Altera FPGA Marketing ReportAltera FPGA Marketing Report
Altera FPGA Marketing Report
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Aspire service offerings pacom
Aspire service offerings pacomAspire service offerings pacom
Aspire service offerings pacom
 
Fixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP PlatformFixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP Platform
 
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
The MRAA and UPM Eclipse IoT Projects | Eclipse IoT Day Santa Clara 2019
 
Asic vs fpga
Asic vs fpgaAsic vs fpga
Asic vs fpga
 
Synopsys User Group Presentation
Synopsys User Group PresentationSynopsys User Group Presentation
Synopsys User Group Presentation
 
FPGA Design Challenges
FPGA Design ChallengesFPGA Design Challenges
FPGA Design Challenges
 
Ti k2 e for mission critical applications
Ti k2 e for mission critical applicationsTi k2 e for mission critical applications
Ti k2 e for mission critical applications
 
Intels presentation at blue line industrial computer seminar
Intels presentation at blue line industrial computer seminarIntels presentation at blue line industrial computer seminar
Intels presentation at blue line industrial computer seminar
 
UTM Appliance Fact Sheet
UTM Appliance Fact SheetUTM Appliance Fact Sheet
UTM Appliance Fact Sheet
 
nios.ppt
nios.pptnios.ppt
nios.ppt
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
FPGA Board (Nexys A7-100T) User Guide.pdf
FPGA Board (Nexys A7-100T) User Guide.pdfFPGA Board (Nexys A7-100T) User Guide.pdf
FPGA Board (Nexys A7-100T) User Guide.pdf
 
Electronics Engineer Portfolio
Electronics Engineer PortfolioElectronics Engineer Portfolio
Electronics Engineer Portfolio
 
Ackelware sl
Ackelware slAckelware sl
Ackelware sl
 
Choosing the right processor for embedded system design
Choosing the right processor for embedded system designChoosing the right processor for embedded system design
Choosing the right processor for embedded system design
 

Mais de Premier Farnell

Being a business assistant with element14 in krakow
Being a business assistant with element14 in krakowBeing a business assistant with element14 in krakow
Being a business assistant with element14 in krakow
Premier Farnell
 

Mais de Premier Farnell (20)

Being a business assistant with element14 in krakow
Being a business assistant with element14 in krakowBeing a business assistant with element14 in krakow
Being a business assistant with element14 in krakow
 
Optical Encoders
Optical EncodersOptical Encoders
Optical Encoders
 
PSA-T Series Spectrum Analyser: PSA1301T/ PSA2701T
PSA-T Series Spectrum Analyser: PSA1301T/ PSA2701TPSA-T Series Spectrum Analyser: PSA1301T/ PSA2701T
PSA-T Series Spectrum Analyser: PSA1301T/ PSA2701T
 
TPS2492/93 – High Voltage Hotswap Controller
TPS2492/93 – High Voltage Hotswap ControllerTPS2492/93 – High Voltage Hotswap Controller
TPS2492/93 – High Voltage Hotswap Controller
 
Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3 Stellaris® 9000 Family of ARM® Cortex™-M3
Stellaris® 9000 Family of ARM® Cortex™-M3
 
Piccolo F2806x Microcontrollers
Piccolo F2806x MicrocontrollersPiccolo F2806x Microcontrollers
Piccolo F2806x Microcontrollers
 
Introduce to AM37x Sitara™ Processors
Introduce to AM37x Sitara™ ProcessorsIntroduce to AM37x Sitara™ Processors
Introduce to AM37x Sitara™ Processors
 
ETRX3 ZigBee Module: ETRX3
ETRX3 ZigBee Module: ETRX3ETRX3 ZigBee Module: ETRX3
ETRX3 ZigBee Module: ETRX3
 
DMM4000 Benchtop Digital Multimeters
DMM4000 Benchtop Digital MultimetersDMM4000 Benchtop Digital Multimeters
DMM4000 Benchtop Digital Multimeters
 
Discovering Board for STM8L15x MCUs
Discovering Board for STM8L15x MCUsDiscovering Board for STM8L15x MCUs
Discovering Board for STM8L15x MCUs
 
Yaw-rate Gyroscopes
Yaw-rate GyroscopesYaw-rate Gyroscopes
Yaw-rate Gyroscopes
 
An Overview Study on MEMS digital output motion sensor: LIS331DLH
An Overview Study on MEMS digital output motion sensor: LIS331DLHAn Overview Study on MEMS digital output motion sensor: LIS331DLH
An Overview Study on MEMS digital output motion sensor: LIS331DLH
 
LED Solar Garden Lighting Solution From STMicroelectronics
LED Solar Garden Lighting Solution From STMicroelectronicsLED Solar Garden Lighting Solution From STMicroelectronics
LED Solar Garden Lighting Solution From STMicroelectronics
 
Solution on Handheld Signal Generator
Solution on Handheld Signal Generator Solution on Handheld Signal Generator
Solution on Handheld Signal Generator
 
Medium Performance Gyroscopes
Medium Performance GyroscopesMedium Performance Gyroscopes
Medium Performance Gyroscopes
 
Getting to Know the R8C/2A, 2B Group MCUs
Getting to Know the R8C/2A, 2B Group MCUs Getting to Know the R8C/2A, 2B Group MCUs
Getting to Know the R8C/2A, 2B Group MCUs
 
SEARAY™ Open Pin Field Interconnects
SEARAY™ Open Pin Field InterconnectsSEARAY™ Open Pin Field Interconnects
SEARAY™ Open Pin Field Interconnects
 
PWM Controller for Power Supplies
PWM Controller for Power SuppliesPWM Controller for Power Supplies
PWM Controller for Power Supplies
 
Handheld Point of Sale Terminal
Handheld Point of Sale TerminalHandheld Point of Sale Terminal
Handheld Point of Sale Terminal
 
Reflective Optical Switch: SFH774X
Reflective Optical Switch: SFH774X Reflective Optical Switch: SFH774X
Reflective Optical Switch: SFH774X
 

Último

Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 

Último (20)

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 

Stratix FPGA Overview

  • 1.
  • 2.
  • 3. Altera product lineup High-end FPGAs with transceiver options CPLDs ASICs Low-cost FPGAs Design software Intellectual property (IP) Development kits Programmable logic solutions for all your needs Low-cost protocol-optimized FPGAs Embedded soft-core processors
  • 4.
  • 5.
  • 8.
  • 9.
  • 10. Stratix DSP Blocks for Multiple Applications
  • 11.
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.

Notas do Editor

  1. Welcome to this module on the Stratix family FPGA from Altera. The module overviews the major features of the Stratix family FPGA and Design Capabilities of the Stratix Family FPGAs.
  2. Altera understands programmable devices are part of a bigger picture, and that true design success requires an array of other tools. To that end, Altera complements its complex programmable logic devices (CPLDs) and field programmable gate arrays (FPGAs) with sophisticated software tools, pre-verified and configurable intellectual property (IP) cores, a soft-core processor – Nios II, development kits, and reference designs. Altera’s comprehensive solution portfolios result in a faster, simplified design process and, in turn, faster time to market and lower development costs. With Altera® solutions, you can undertake your design, confident that you’ll be able to meet your unique application design goals.
  3. Altera’s Stratix® FPGAs were designed to address your design and business challenges, anticipate the unforeseen, and help you win in your market. The family supports your applications’ increased levels of integration and complexity with higher densities and performance, while decreasing power consumption. With its flexible and efficient logic architecture, enhanced memory blocks, and high-capacity digital signal processing (DSP) blocks, Stratix FPGAs meet your system’s most demanding requirements.
  4. The Stratix® series of FPGA families enables you to deliver high-performance, state-of-the-art products to market faster with lower risk and higher productivity. By combining high density, high performance, and a rich feature set, FPGAs in the Stratix series allow you to integrate more functions and maximize system bandwidth. Stratix and the Stratix GX variants are the original members of the Stratix FPGA series. This high-performance FPGA family introduced DSP hard IP blocks along with Altera's ubiquitous TriMatrix on-chip memory and flexible I/O structures. Stratix II  and  Stratix II GX  variant FPGAs introduced the adaptive logic module (ALM) architecture, which uses a high-performance, 8-input fracturable look-up table (LUT) in place of a 4 input LUT, and which is found in Altera's newest high-end FPGAs today. This second-generation high-performance FPGA family includes best-in-class 6.375-Gbps transceiver-based variant devices. These Stratix II GX FPGAs are available in volume and are still highly recommended for new designs. Stratix III FPGAs are the industry’s lowest power high-performance 65-nm FPGAs. Logic rich (L) and enhanced for memory (E) and digital signal processing (DSP) variants allow you to balance your resource requirements to your design without having to design in a device any bigger than is absolutely necessary—saving board real estate, compilation time, and money. Stratix III FPGAs target high-end core system processing designs in many applications. Stratix IV  FPGAs are the fourth-generation Stratix FPGA family and provide the highest density, highest performance and lowest power of any 40-nm FPGA. With enhanced (E) and enhanced with transceivers (GX) variants, Stratix IV FPGAs address many markets and applications, such as wireless and wireline communications, military, and broadcast.
  5. Stratix™ devices feature the TriMatrix™ memory structure, composed of three sizes of embedded RAM blocks. The TriMatrix memory offers different memory structures that can implement a wide variety of memory functions found in complex designs. Designers can use the smaller M512 RAM blocks for first-in first-out (FIFO) functions and clock domain buffering where memory bandwidth is critical. The revolutionary M-RAM block addresses the FPGA requirement for large buffering applications such as intellectual property (IP) packet buffering and system cache. The M4K blocks are ideal for medium-sized memory applications such as asynchronous transfer mode (ATM) cell processing.
  6. The high-performance Stratix™ device architecture consists of vertically arranged logic elements (LEs), TriMatrix ™ memory blocks , digital signal processing (DSP) blocks, and phase-locked loops (PLLs) that are surrounded by I/O elements (IOEs) as depicted in Figure . A speed-optimized interconnect and low-skew clock network provide connectivity between each of these structures for clock and data signals.
  7. Stratix devices are based on the MultiTrack™ interconnect with DirectDrive™ technology. The MultiTrack interconnect consists of continuous, performance-optimized routing lines of different lengths used for communication within and between distinct design blocks. The MultiTrack interconnect structure is complemented by an advanced, low-skew clock network for clock distribution within the device, providing access to up to 22 clock domains per region. Each Stratix device features up to 16 global clock networks that span the entire device, feeding all architectural structures. Global clocks can be driven by internal logic, phase-locked loop (PLL) outputs, or device input pins, and can be used for other device-wide signals with large fan-outs such as asynchronous clears and clock enables, as shown in Figure.
  8. Each DSP block is optimized for maximum performance of up to 333 million samples per second (MSPS) per block allowing for efficient implementation of high-precision DSP functions. For example, a 180-tap, 5 MSPS finite impulse response (FIR) filter can be implemented within a single DSP block using external add-accumulate circuitry. Further, these DSP blocks have been optimized to interface with the specialized memory structures in Stratix devices for memory-intensive DSP applications.
  9. The DSP blocks in Stratix™ devices are high-performance embedded DSP units optimized for applications such as: Rake receivers Voice over Internet protocol (VoIP) gateways Orthogonal frequency division multiplexing (OFDM) transceivers Image processing applications Multimedia entertainment systems
  10. Stratix devices are designed to reliably transfer data to and from external memory devices. Stratix devices include dedicated I/O features that ensure that all timing requirements are met and that performance is maximized.
  11. Here is the example that LG uses Stratix in their HDTV products.
  12. Here is another example that Doremi has relied exclusively on Altera® FPGAs to implement their high bandwidth video products.
  13. Altera’s Quartus II design software is #1 in performance and productivity for CPLD, FPGA, and structured ASIC designs. Used in combination with a broad portfolio of design-ready intellectual property (IP) cores, you get unmatched levels of performance and productivity in your designs. Quartus II software is easy to use and enables you to get products to market faster. Dramatically improve your productivity compared to traditional FPGA design flows.
  14. Altera offers a broad portfolio of easy-to-use intellectual property (IP) cores, also known as megafunctions. These IP cores are high-quality “building blocks” that you can drop into your system designs, increasing productivity by avoiding the time-consuming task of creating complete designs from scratch. Easy-to-use, pre-verified, and configurable, Altera® IP cores are optimized for the latest Altera devices and are fully supported in Quartus® II design software. Some of the IP cores are from leading third-party IP vendors who have developed, optimized, and qualified their IP products for Altera devices, licensing them directly to our customers. Discover how quickly you can use these cores to accelerate your system design, lower development costs, speed your time to market, and give your systems a competitive edge.
  15. With Altera’s versatile, 32-bit Nios® II embedded processors, you can drag and drop the precise mix of processors and peripherals to build an exact-fit embedded system in just minutes, adapt rapidly to changes in the market, and get a jump on your competition. These processors are backed by a full range of embedded software tools and operating system support from Altera and industry-leading embedded partners. With Nios II processors, you can upgrade system performance at any stage of the product life cycle without having to redesign the board or develop hand-optimized software. The Nios II C-to-Hardware Acceleration (C2H) Compiler boosts performance of time-critical C subroutines, converting them to powerful hardware accelerators with a simple “right-click to accelerate” interface. As a soft-core processor, our Nios II offering can be implemented in any of Altera’s FPGA or structured ASIC device families, insulating your embedded software investment from processor obsolescence. Used by each of the world’s top 20 OEMs and with more than 20,000 development kits sold worldwide, the proven Nios II processor is the most popular configurable soft processor in the industry.
  16. Thank you for taking the time to view this presentation on Altera Stratix FPGAs. If you would like to learn more or go on to purchase some of these devices, you may either click on the part list link, or simply call our sales hotline. For more technical information you may either visit the Altera site – link shown – or if you would prefer to speak to someone live, please call our hotline number, or even use our ‘live chat’ online facility.