SlideShare uma empresa Scribd logo
1 de 40
Baixar para ler offline
http://www.bized.co.uk




                                   Session 7


Prepared by
          Alaa Salah Shehata
          Mahmoud A. M. Abd El Latif
          Mohamed Mohamed Tala’t
          Mohamed Salah Mahmoud

                                                     Version 02 – October 2011
                                                  Copyright 2006 – Biz/ed
http://www.bized.co.uk




Contents   - Structural Description

           - Generic Statements

           - Packages
                                            7
           - Generate Statements
                  -For Generate




                                                2
                                  Copyright 2006 – Biz/ed
Session 7

                                            http://www.bized.co.uk



the main objective here is to link
between many blocks to get
general block.




     Block_1                          Structural
                                     description
                      Block_3
     Block_2




                                                             3
                                               Copyright 2006 – Biz/ed
Session 7

                                                      http://www.bized.co.uk


Structural Description

  Structural description allows having multiple levels of
  hierarchy in the design


  Top- Down Design
  define general block that has general
  inputs and outputs after that we can write
   the collection of the mini blocks inside it.




                                                                          4
                                                            Copyright 2006 – Biz/ed
Session 7

                                                         http://www.bized.co.uk


Structural Description

 1) Component declaration

         component <component_name>

          port (        <port_names>: <mode> <type>;

                        <port_names>: <mode> <type>;
                            .
                            .
                            .
              );
 end component;

        Note that the definition of the component is like the definition
of the entity                                               Think as Hardware
                                                                           5
                                                             Copyright 2006 – Biz/ed
Session 7

                                                             http://www.bized.co.uk


Structural Description

 2) Component instantiation and Interconnections

 instance_name: component_name
                 port map (signal1,
                           signal2,…);

 each signal is written in the position that describe which port it belongs to
 which means that the first signal written here represent the first port in the
 component

 very important note:
 if you needn't use special output port you can write the key word open (that
 mean this port will be unconnected).

                                                              Think as Hardware
                                                                               6
                                                                 Copyright 2006 – Biz/ed
Session 7

                 http://www.bized.co.uk




            Example
               28




                                    7
                      Copyright 2006 – Biz/ed
Session 7

                                              http://www.bized.co.uk

entity test is
    Port ( a :   in    STD_LOGIC;
           b :   in    STD_LOGIC;
           c :
           d :
                 in
                 in
                       STD_LOGIC;
                       STD_LOGIC;
                                       And
           f :   out    STD_LOGIC);    gate
end test;
architecture Behavioral of test is            Or gate
component or_gate is
    Port ( in1 : in STD_LOGIC;
                                       And
           in2 : in STD_LOGIC;         gate
           out_or : out STD_LOGIC);
end component ;
component and_gate is
    Port ( in1 : in STD_LOGIC;
           in2 : in STD_LOGIC;
           out_and : out STD_LOGIC);
end component;
signal sig1,sig2 : std_logic;
begin
u1:and_gate port map (a,b,sig1);
u2:and_gate port map (c,d,sig2);
u3:or_gate port map (sig1,sig2,f);
end Behavioral;
                                                               8
                                                 Copyright 2006 – Biz/ed
Session 7

                                                        http://www.bized.co.uk



Structural Description
2) Component instantiation and Interconnections

   <instance_name>: <component_name >
                   port map(
                      <port_name> => <sig_name>,
                        <port_name> => <sig_name>,
                                        .
                                        .
                                        .
                 <port_name> => <sig_name>
                           );

very important note:
if you needn't use special output port you can write the key word open (that
mean this port will be unconnected).
                                                           Think as Hardware
                                                                          9
                                                            Copyright 2006 – Biz/ed
Session 7

                 http://www.bized.co.uk




            Example
               29




                                   10
                      Copyright 2006 – Biz/ed
Session 7

                                                  http://www.bized.co.uk




Entity test is
    Port ( a :   in    STD_LOGIC;
           b :   in    STD_LOGIC;
           c :   in    STD_LOGIC;      begin
           d :   in    STD_LOGIC;      u1:and_gate
           f :   out    STD_LOGIC);
end test;                              port map (in1 => a,
                                                 in2 => b,
                                                 out_and => sig1);
Architecture Behavioral of test is     u2:and_gate
component or_gate is                   port map (in1 => c,
    Port ( in1 : in STD_LOGIC;                   in2 => d,
           in2 : in STD_LOGIC;                   out_and => sig2);
           out_or : out STD_LOGIC);
end component ;
                                       u3:or_gate
component and_gate is                  port map (in1 => sig1,
    Port ( in1 : in STD_LOGIC;                    in2 => sig2,
           in2 : in STD_LOGIC;                    out_or => f);
           out_and : out STD_LOGIC);
end component;
signal sig1,sig2 : std_logic;          end Behavioral;


                                                                  11
                                                     Copyright 2006 – Biz/ed
Session 7

                  http://www.bized.co.uk




            lab
             14




                                  12
                     Copyright 2006 – Biz/ed
Session 7

                                                                                      http://www.bized.co.uk



Entity comp4 is
         port ( a , b : in  std_logic_vector(3 downto 0);
                    eq : out std_logic
         );
End comp4 ;
Architecture struct of comp4 is
Component xnor_2 is
      port ( g , f : in  std_logic ;
                     y  : out std_logic );
End component ;
Component and_4 is
     port ( in1, in2, in3, in4 : in std_logic ;
                  out     : out std_logic );
End component ;
Signal x : std_logic_vector ( 3 downto 0 ) ;
Begin
        U1   :   xnor_2      port   map   (   a(0)   ,   b(0)   ,   x(0)   )   ;
        U2   :   xnor_2      port   map   (   a(1)   ,   b(1)   ,   x(1)   )   ;
        U3   :   xnor_2      port   map   (   a(2)   ,   b(2)   ,   x(2)   )   ;
        U4   :   xnor_2      port   map   (   a(3)   ,   b(3)   ,   x(3)   )   ;
        U5   :   and_4       port   map   (   x(0)   ,   x(1)   ,   x(2)   ,   x(3) , eq ) ;
End struct   ;

                                                                                                       13
                                                                                          Copyright 2006 – Biz/ed
Session 7

                  http://www.bized.co.uk




             Generic
            Statement




                                  14
                     Copyright 2006 – Biz/ed
Session 7

                                                 http://www.bized.co.uk


Generic Statement


VHDL provides an easy way to create generic design units that can
be used several times with different properties in the design
hierarchy
                                                4-bit
                                               counter

                       N-bit
                      counter                   8-bit
                                               counter Hardware
                                                 Think as
                                                                 15
                                                    Copyright 2006 – Biz/ed
Session 7

                                                http://www.bized.co.uk


Generic declaration


generic (
       <identifier>: type [:= default_value];
       <identifier>: type [:= default_value])
                );




                                                                16
                                                   Copyright 2006 – Biz/ed
Session 7

                          http://www.bized.co.uk




• Generic AND Gate




                     Example
                        30




                                            17
                               Copyright 2006 – Biz/ed
Session 7

                                                   http://www.bized.co.uk




library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

Entity generic_and is
  generic (N : integer := 4 );
  port(A, B: in std_logic_vector (N-1 downto 0);
       Z : out std_logic_vector(N-1 downto 0) );
End entity;

Architecture behave of generic_and is
Begin
  Z <= A and B;
End architecture



                                                                   18
                                                      Copyright 2006 – Biz/ed
Session 7

                          http://www.bized.co.uk




• N-Bit Full Adder




                     Example
                        31




                                            19
                               Copyright 2006 – Biz/ed
Session 7

                                                     http://www.bized.co.uk

                                                   C_in


                                         A
                                                   N bit           Sum
                                                 Full Adder
                                         B

Entity F_adder is
Generic ( N : integer := 4 );
                                                   C_out
Port (
                 A, B : in std_logic_vector(N-1 downto 0) ;
  C_in : in std_logic ;
  Sum : out std_logic_vector(N-1 downto 0);
  C_out : out std_logic
) ;
End F_adder ;




                                                                       20
                                                          Copyright 2006 – Biz/ed
Session 7

                                                    http://www.bized.co.uk




Architecture struct of f_adder is
Component n_adder
       Generic ( N : integer := 4 );
       Port (
       A, B : in std_logic_vector(N-1 downto 0) ;
       C_in : in std_logic ;
       Sum : out std_logic_vector(N-1 downto 0);
       C_out : out std_logic
) ;                                                      C_in
End component ;
Begin

                                                A
U1 : n_adder generic map (8)
           port map ( A => acc ,                         N bit           Sum
                       B => b_reg ,                    Full Adder
                       c_in => E ,              B
                       sum => result ,
                       c_out => carry_out ) ;
End struct

                                                         C_out

                                                                    21
                                                       Copyright 2006 – Biz/ed
Session 7

                http://www.bized.co.uk




            package




                                22
                   Copyright 2006 – Biz/ed
Session 7

                                                        http://www.bized.co.uk




Instead of declaring all components can declare all components in a
PACKAGE, and INCLUDE the package once

       1) This makes the top-level entity code cleaner
       2) It also allows that complete package to be used by another
          designer

A package can contain

       1) Components
       2) Functions, Procedures
       3) Types, Constants




                                                                        23
                                                           Copyright 2006 – Biz/ed
Session 7

                          http://www.bized.co.uk




• Logic circuit
  by using package




                     Example
                        32




                                            24
                               Copyright 2006 – Biz/ed
Session 7

                                                                http://www.bized.co.uk


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
                                                   And
package logic_circuit is                           gate
component or_gate is
  Port ( in1 : in STD_LOGIC;
                                                                    Or gate
      in2 : in STD_LOGIC;
      out_or : out STD_LOGIC);                     And
end component ;                                    gate
component and_gate is
  Port ( in1 : in STD_LOGIC;
      in2 : in STD_LOGIC;
      out_and : out STD_LOGIC);
end component;

constant const1: STD_LOGIC_vector (3 downto 0) := "0011"; ---const definition

end logic_circuit;

                                                                                25
                                                                   Copyright 2006 – Biz/ed
Session 7

                                                                               http://www.bized.co.uk
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.logic_circuit.all;                -----definition   of the package
entity test is
  Port ( a : in STD_LOGIC;
       b : in STD_LOGIC;
       c : in STD_LOGIC;
       d : in STD_LOGIC;
       out1: out STD_LOGIC_vector (3 downto 0);                         And
       f : out STD_LOGIC);
end test;                                                               gate
architecture Behavioral of test is
signal sig1,sig2 : std_logic;                                                     Or gate
begin
u1:and_gate
port map (      in1 => a,                                               And
                in2 => b,
                out_and => sig1);
                                                                        gate
u2:and_gate
port map (      in1 => c,
                in2 => d,
                out_and => sig2);
u3:or_gate
port map (      in1 => sig1,
                in2 => sig2,
                out_or => f);

out1<= const1;                         ------- const using
end Behavioral;

                                                                                               26
                                                                                  Copyright 2006 – Biz/ed
Session 7

                  http://www.bized.co.uk




            Generate
            statement




                                  27
                     Copyright 2006 – Biz/ed
Session 7

                                                            http://www.bized.co.uk



• The generate statement simplifies description of regular design structures.
Usually it is used to specify a group of identical components using just one
component specification and repeating it using the generate mechanism.


For generate declaration :

         Label : for identifier IN range GENERATE

                 (concurrent assignments)
                         .
                         .
                         .

         END GENERATE;




                                                                            28
                                                               Copyright 2006 – Biz/ed
Session 7

                             http://www.bized.co.uk




• SEREIS OF XOR GATES




                        Example
                           33




                                               29
                                  Copyright 2006 – Biz/ed
Session 7

                                                                 http://www.bized.co.uk




ENTITY parity IS
          PORT(
                        parity_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
                        parity_out : OUT STD_LOGIC
              );
END parity;




                                                                                    30
                                                                       Copyright 2006 – Biz/ed
Session 7

                                                                        http://www.bized.co.uk
       xor_out(1)
                    xor_out(2)
                                 xor_out(3)
                                              xor_out(4)
                                                           xor_out(5) xor_out(6)




xor_out(1) <= parity_in(0) XOR parity_in(1);
xor_out(2) <= xor_out(1) XOR parity_in(2);
xor_out(3) <= xor_out(2) XOR parity_in(3);
xor_out(4) <= xor_out(3) XOR parity_in(4);
xor_out(5) <= xor_out(4) XOR parity_in(5);
xor_out(6) <= xor_out(5) XOR parity_in(6);
parity_out <= xor_out(6) XOR parity_in(7);

                                                                                          31
                                                                             Copyright 2006 – Biz/ed
Session 7

                                                                            http://www.bized.co.uk
xor_out(0)
             xor_out(1)
                          xor_out(2)
                                       xor_out(3)
                                                    xor_out(4)
                                                                 xor_out(5) xor_out(6)
                                                                                         xor_out(7)




xor_out(0) <= parity_in(0);
xor_out(1) <= xor_out(0) XOR parity_in(1);
xor_out(2) <= xor_out(1) XOR parity_in(2);
xor_out(3) <= xor_out(2) XOR parity_in(3);
xor_out(4) <= xor_out(3) XOR parity_in(4);
xor_out(5) <= xor_out(4) XOR parity_in(5);
xor_out(6) <= xor_out(5) XOR parity_in(6);
xor_out(7) <= xor_out(6) XOR parity_in(7);
parity_out <= xor_out(7);
                                                                                                  32
                                                                                 Copyright 2006 – Biz/ed
Session 7

                                                 http://www.bized.co.uk



ARCHITECTURE parity_dataflow OF parity IS
SIGNAL xor_out: STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
        xor_out(0) <= parity_in(0);
        G2: FOR i IN 1 TO 7 GENERATE
              xor_out(i) <= xor_out(i-1) XOR parity_in(i);
        END GENERATE G2;
        parity_out <= xor_out(7);
END parity_dataflow;




                                                                 33
                                                    Copyright 2006 – Biz/ed
Session 7

                         http://www.bized.co.uk




• N- BIT REGISTER




                    Example
                       34




                                           34
                              Copyright 2006 – Biz/ed
Session 7

                                                      http://www.bized.co.uk




            reset




            si                                                                              so
                        r                   r                  r                  r
                    d         q        si         q        d         q        d         q

            z0          clk       z1        clk       z2       clk       z3       clk       z4


            clock




                                                                                      35
                                                           Copyright 2006 – Biz/ed
http://www.bized.co.uk




Next Session
      Evaluation Test




                        Copyright 2006 – Biz/ed
Session 7

                             http://www.bized.co.uk




Questions
                 Session-7




                                             37
                                Copyright 2006 – Biz/ed
Session 7

                                                                                                      http://www.bized.co.uk

Take Your Notes
              Print the slides and take your notes here

---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
                                                                                                                              38
                                                                                                           Copyright 2006 – Biz/ed
Session 7

                                                                                                      http://www.bized.co.uk

Take Your Notes
              Print the slides and take your notes here

---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------------------------------------------------------
                                                                                                                              39
                                                                                                           Copyright 2006 – Biz/ed
Session 7

                       http://www.bized.co.uk




See You Next Session




                                       40
                          Copyright 2006 – Biz/ed

Mais conteúdo relacionado

Semelhante a Session seven

SCL
SCLSCL
SCLESUG
 
Testing CAN network with help of CANToolz
Testing CAN network with help of CANToolzTesting CAN network with help of CANToolz
Testing CAN network with help of CANToolzAlexey Sintsov
 
CPE439FinalProjectReport
CPE439FinalProjectReportCPE439FinalProjectReport
CPE439FinalProjectReportJordan Read
 
Building Hierarchy
Building HierarchyBuilding Hierarchy
Building HierarchyMohamed Samy
 
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdf
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdfHP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdf
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdfssuser77b13f
 
2013 09-02 senzations-bimschas-part2-smart-santander-experimentation
2013 09-02 senzations-bimschas-part2-smart-santander-experimentation2013 09-02 senzations-bimschas-part2-smart-santander-experimentation
2013 09-02 senzations-bimschas-part2-smart-santander-experimentationDaniel Bimschas
 
Look Inside Your OSGi Bundles and Build them Block by Block - FA Kramer
Look Inside Your OSGi Bundles and Build them Block by Block - FA KramerLook Inside Your OSGi Bundles and Build them Block by Block - FA Kramer
Look Inside Your OSGi Bundles and Build them Block by Block - FA Kramermfrancis
 
008 stp principle issue1.3bx1
008 stp principle issue1.3bx1008 stp principle issue1.3bx1
008 stp principle issue1.3bx1jcbp_peru
 
Simulation using OMNet++
Simulation using OMNet++Simulation using OMNet++
Simulation using OMNet++jeromy fu
 
The Rust Programming Language: an Overview
The Rust Programming Language: an OverviewThe Rust Programming Language: an Overview
The Rust Programming Language: an OverviewRoberto Casadei
 
Deeper Look Into HSAIL And It's Runtime
Deeper Look Into HSAIL And It's Runtime Deeper Look Into HSAIL And It's Runtime
Deeper Look Into HSAIL And It's Runtime HSA Foundation
 
[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan
[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan
[CB20] DeClang: Anti-hacking compiler by Mengyuan WanCODE BLUE
 
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)Igalia
 
[MakerHN] [IoT] [01] Intro 2
[MakerHN] [IoT] [01] Intro 2[MakerHN] [IoT] [01] Intro 2
[MakerHN] [IoT] [01] Intro 2Công Hoàng Văn
 
Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)Alok Singh
 

Semelhante a Session seven (20)

Assic 6th Lecture
Assic 6th LectureAssic 6th Lecture
Assic 6th Lecture
 
SCL
SCLSCL
SCL
 
Testing CAN network with help of CANToolz
Testing CAN network with help of CANToolzTesting CAN network with help of CANToolz
Testing CAN network with help of CANToolz
 
Syntutic
SyntuticSyntutic
Syntutic
 
CPE439FinalProjectReport
CPE439FinalProjectReportCPE439FinalProjectReport
CPE439FinalProjectReport
 
Building Hierarchy
Building HierarchyBuilding Hierarchy
Building Hierarchy
 
Grizzly 20080925 V2
Grizzly 20080925 V2Grizzly 20080925 V2
Grizzly 20080925 V2
 
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdf
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdfHP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdf
HP 8470P Calvin UMA 6050A2466401-MB-A02 MV 2012-03-08 Schematics.pdf
 
Session one
Session oneSession one
Session one
 
2013 09-02 senzations-bimschas-part2-smart-santander-experimentation
2013 09-02 senzations-bimschas-part2-smart-santander-experimentation2013 09-02 senzations-bimschas-part2-smart-santander-experimentation
2013 09-02 senzations-bimschas-part2-smart-santander-experimentation
 
Look Inside Your OSGi Bundles and Build them Block by Block - FA Kramer
Look Inside Your OSGi Bundles and Build them Block by Block - FA KramerLook Inside Your OSGi Bundles and Build them Block by Block - FA Kramer
Look Inside Your OSGi Bundles and Build them Block by Block - FA Kramer
 
OpenOCD-K3
OpenOCD-K3OpenOCD-K3
OpenOCD-K3
 
008 stp principle issue1.3bx1
008 stp principle issue1.3bx1008 stp principle issue1.3bx1
008 stp principle issue1.3bx1
 
Simulation using OMNet++
Simulation using OMNet++Simulation using OMNet++
Simulation using OMNet++
 
The Rust Programming Language: an Overview
The Rust Programming Language: an OverviewThe Rust Programming Language: an Overview
The Rust Programming Language: an Overview
 
Deeper Look Into HSAIL And It's Runtime
Deeper Look Into HSAIL And It's Runtime Deeper Look Into HSAIL And It's Runtime
Deeper Look Into HSAIL And It's Runtime
 
[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan
[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan
[CB20] DeClang: Anti-hacking compiler by Mengyuan Wan
 
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)
Celebrating Guile 2020. Lessons Learned in the Last Lap to Guile 3 (FOSDEM 2020)
 
[MakerHN] [IoT] [01] Intro 2
[MakerHN] [IoT] [01] Intro 2[MakerHN] [IoT] [01] Intro 2
[MakerHN] [IoT] [01] Intro 2
 
Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)
 

Mais de Mahmoud Abdellatif

Mais de Mahmoud Abdellatif (6)

Evaluation test
Evaluation testEvaluation test
Evaluation test
 
Session six
Session sixSession six
Session six
 
Session five
Session fiveSession five
Session five
 
Xilinx ise tutorial-a
Xilinx ise tutorial-aXilinx ise tutorial-a
Xilinx ise tutorial-a
 
Intrduction To The Course
Intrduction To The  CourseIntrduction To The  Course
Intrduction To The Course
 
Intrduction to the course
Intrduction to the courseIntrduction to the course
Intrduction to the course
 

Último

WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MIND CTI
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...apidays
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoffsammart93
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native ApplicationsWSO2
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Jeffrey Haguewood
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...apidays
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWERMadyBayot
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024The Digital Insurer
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusZilliz
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century educationjfdjdjcjdnsjd
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistandanishmna97
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...apidays
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherRemote DBA Services
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsNanddeep Nachan
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 

Último (20)

WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering Developers
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 

Session seven

  • 1. http://www.bized.co.uk Session 7 Prepared by Alaa Salah Shehata Mahmoud A. M. Abd El Latif Mohamed Mohamed Tala’t Mohamed Salah Mahmoud Version 02 – October 2011 Copyright 2006 – Biz/ed
  • 2. http://www.bized.co.uk Contents - Structural Description - Generic Statements - Packages 7 - Generate Statements -For Generate 2 Copyright 2006 – Biz/ed
  • 3. Session 7 http://www.bized.co.uk the main objective here is to link between many blocks to get general block. Block_1 Structural description Block_3 Block_2 3 Copyright 2006 – Biz/ed
  • 4. Session 7 http://www.bized.co.uk Structural Description Structural description allows having multiple levels of hierarchy in the design Top- Down Design define general block that has general inputs and outputs after that we can write the collection of the mini blocks inside it. 4 Copyright 2006 – Biz/ed
  • 5. Session 7 http://www.bized.co.uk Structural Description 1) Component declaration component <component_name> port ( <port_names>: <mode> <type>; <port_names>: <mode> <type>; . . . ); end component; Note that the definition of the component is like the definition of the entity Think as Hardware 5 Copyright 2006 – Biz/ed
  • 6. Session 7 http://www.bized.co.uk Structural Description 2) Component instantiation and Interconnections instance_name: component_name port map (signal1, signal2,…); each signal is written in the position that describe which port it belongs to which means that the first signal written here represent the first port in the component very important note: if you needn't use special output port you can write the key word open (that mean this port will be unconnected). Think as Hardware 6 Copyright 2006 – Biz/ed
  • 7. Session 7 http://www.bized.co.uk Example 28 7 Copyright 2006 – Biz/ed
  • 8. Session 7 http://www.bized.co.uk entity test is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : d : in in STD_LOGIC; STD_LOGIC; And f : out STD_LOGIC); gate end test; architecture Behavioral of test is Or gate component or_gate is Port ( in1 : in STD_LOGIC; And in2 : in STD_LOGIC; gate out_or : out STD_LOGIC); end component ; component and_gate is Port ( in1 : in STD_LOGIC; in2 : in STD_LOGIC; out_and : out STD_LOGIC); end component; signal sig1,sig2 : std_logic; begin u1:and_gate port map (a,b,sig1); u2:and_gate port map (c,d,sig2); u3:or_gate port map (sig1,sig2,f); end Behavioral; 8 Copyright 2006 – Biz/ed
  • 9. Session 7 http://www.bized.co.uk Structural Description 2) Component instantiation and Interconnections <instance_name>: <component_name > port map( <port_name> => <sig_name>, <port_name> => <sig_name>, . . . <port_name> => <sig_name> ); very important note: if you needn't use special output port you can write the key word open (that mean this port will be unconnected). Think as Hardware 9 Copyright 2006 – Biz/ed
  • 10. Session 7 http://www.bized.co.uk Example 29 10 Copyright 2006 – Biz/ed
  • 11. Session 7 http://www.bized.co.uk Entity test is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC; begin d : in STD_LOGIC; u1:and_gate f : out STD_LOGIC); end test; port map (in1 => a, in2 => b, out_and => sig1); Architecture Behavioral of test is u2:and_gate component or_gate is port map (in1 => c, Port ( in1 : in STD_LOGIC; in2 => d, in2 : in STD_LOGIC; out_and => sig2); out_or : out STD_LOGIC); end component ; u3:or_gate component and_gate is port map (in1 => sig1, Port ( in1 : in STD_LOGIC; in2 => sig2, in2 : in STD_LOGIC; out_or => f); out_and : out STD_LOGIC); end component; signal sig1,sig2 : std_logic; end Behavioral; 11 Copyright 2006 – Biz/ed
  • 12. Session 7 http://www.bized.co.uk lab 14 12 Copyright 2006 – Biz/ed
  • 13. Session 7 http://www.bized.co.uk Entity comp4 is port ( a , b : in std_logic_vector(3 downto 0); eq : out std_logic ); End comp4 ; Architecture struct of comp4 is Component xnor_2 is port ( g , f : in std_logic ; y : out std_logic ); End component ; Component and_4 is port ( in1, in2, in3, in4 : in std_logic ; out : out std_logic ); End component ; Signal x : std_logic_vector ( 3 downto 0 ) ; Begin U1 : xnor_2 port map ( a(0) , b(0) , x(0) ) ; U2 : xnor_2 port map ( a(1) , b(1) , x(1) ) ; U3 : xnor_2 port map ( a(2) , b(2) , x(2) ) ; U4 : xnor_2 port map ( a(3) , b(3) , x(3) ) ; U5 : and_4 port map ( x(0) , x(1) , x(2) , x(3) , eq ) ; End struct ; 13 Copyright 2006 – Biz/ed
  • 14. Session 7 http://www.bized.co.uk Generic Statement 14 Copyright 2006 – Biz/ed
  • 15. Session 7 http://www.bized.co.uk Generic Statement VHDL provides an easy way to create generic design units that can be used several times with different properties in the design hierarchy 4-bit counter N-bit counter 8-bit counter Hardware Think as 15 Copyright 2006 – Biz/ed
  • 16. Session 7 http://www.bized.co.uk Generic declaration generic ( <identifier>: type [:= default_value]; <identifier>: type [:= default_value]) ); 16 Copyright 2006 – Biz/ed
  • 17. Session 7 http://www.bized.co.uk • Generic AND Gate Example 30 17 Copyright 2006 – Biz/ed
  • 18. Session 7 http://www.bized.co.uk library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Entity generic_and is generic (N : integer := 4 ); port(A, B: in std_logic_vector (N-1 downto 0); Z : out std_logic_vector(N-1 downto 0) ); End entity; Architecture behave of generic_and is Begin Z <= A and B; End architecture 18 Copyright 2006 – Biz/ed
  • 19. Session 7 http://www.bized.co.uk • N-Bit Full Adder Example 31 19 Copyright 2006 – Biz/ed
  • 20. Session 7 http://www.bized.co.uk C_in A N bit Sum Full Adder B Entity F_adder is Generic ( N : integer := 4 ); C_out Port ( A, B : in std_logic_vector(N-1 downto 0) ; C_in : in std_logic ; Sum : out std_logic_vector(N-1 downto 0); C_out : out std_logic ) ; End F_adder ; 20 Copyright 2006 – Biz/ed
  • 21. Session 7 http://www.bized.co.uk Architecture struct of f_adder is Component n_adder Generic ( N : integer := 4 ); Port ( A, B : in std_logic_vector(N-1 downto 0) ; C_in : in std_logic ; Sum : out std_logic_vector(N-1 downto 0); C_out : out std_logic ) ; C_in End component ; Begin A U1 : n_adder generic map (8) port map ( A => acc , N bit Sum B => b_reg , Full Adder c_in => E , B sum => result , c_out => carry_out ) ; End struct C_out 21 Copyright 2006 – Biz/ed
  • 22. Session 7 http://www.bized.co.uk package 22 Copyright 2006 – Biz/ed
  • 23. Session 7 http://www.bized.co.uk Instead of declaring all components can declare all components in a PACKAGE, and INCLUDE the package once 1) This makes the top-level entity code cleaner 2) It also allows that complete package to be used by another designer A package can contain 1) Components 2) Functions, Procedures 3) Types, Constants 23 Copyright 2006 – Biz/ed
  • 24. Session 7 http://www.bized.co.uk • Logic circuit by using package Example 32 24 Copyright 2006 – Biz/ed
  • 25. Session 7 http://www.bized.co.uk library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; And package logic_circuit is gate component or_gate is Port ( in1 : in STD_LOGIC; Or gate in2 : in STD_LOGIC; out_or : out STD_LOGIC); And end component ; gate component and_gate is Port ( in1 : in STD_LOGIC; in2 : in STD_LOGIC; out_and : out STD_LOGIC); end component; constant const1: STD_LOGIC_vector (3 downto 0) := "0011"; ---const definition end logic_circuit; 25 Copyright 2006 – Biz/ed
  • 26. Session 7 http://www.bized.co.uk library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.logic_circuit.all; -----definition of the package entity test is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC; d : in STD_LOGIC; out1: out STD_LOGIC_vector (3 downto 0); And f : out STD_LOGIC); end test; gate architecture Behavioral of test is signal sig1,sig2 : std_logic; Or gate begin u1:and_gate port map ( in1 => a, And in2 => b, out_and => sig1); gate u2:and_gate port map ( in1 => c, in2 => d, out_and => sig2); u3:or_gate port map ( in1 => sig1, in2 => sig2, out_or => f); out1<= const1; ------- const using end Behavioral; 26 Copyright 2006 – Biz/ed
  • 27. Session 7 http://www.bized.co.uk Generate statement 27 Copyright 2006 – Biz/ed
  • 28. Session 7 http://www.bized.co.uk • The generate statement simplifies description of regular design structures. Usually it is used to specify a group of identical components using just one component specification and repeating it using the generate mechanism. For generate declaration : Label : for identifier IN range GENERATE (concurrent assignments) . . . END GENERATE; 28 Copyright 2006 – Biz/ed
  • 29. Session 7 http://www.bized.co.uk • SEREIS OF XOR GATES Example 33 29 Copyright 2006 – Biz/ed
  • 30. Session 7 http://www.bized.co.uk ENTITY parity IS PORT( parity_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); parity_out : OUT STD_LOGIC ); END parity; 30 Copyright 2006 – Biz/ed
  • 31. Session 7 http://www.bized.co.uk xor_out(1) xor_out(2) xor_out(3) xor_out(4) xor_out(5) xor_out(6) xor_out(1) <= parity_in(0) XOR parity_in(1); xor_out(2) <= xor_out(1) XOR parity_in(2); xor_out(3) <= xor_out(2) XOR parity_in(3); xor_out(4) <= xor_out(3) XOR parity_in(4); xor_out(5) <= xor_out(4) XOR parity_in(5); xor_out(6) <= xor_out(5) XOR parity_in(6); parity_out <= xor_out(6) XOR parity_in(7); 31 Copyright 2006 – Biz/ed
  • 32. Session 7 http://www.bized.co.uk xor_out(0) xor_out(1) xor_out(2) xor_out(3) xor_out(4) xor_out(5) xor_out(6) xor_out(7) xor_out(0) <= parity_in(0); xor_out(1) <= xor_out(0) XOR parity_in(1); xor_out(2) <= xor_out(1) XOR parity_in(2); xor_out(3) <= xor_out(2) XOR parity_in(3); xor_out(4) <= xor_out(3) XOR parity_in(4); xor_out(5) <= xor_out(4) XOR parity_in(5); xor_out(6) <= xor_out(5) XOR parity_in(6); xor_out(7) <= xor_out(6) XOR parity_in(7); parity_out <= xor_out(7); 32 Copyright 2006 – Biz/ed
  • 33. Session 7 http://www.bized.co.uk ARCHITECTURE parity_dataflow OF parity IS SIGNAL xor_out: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN xor_out(0) <= parity_in(0); G2: FOR i IN 1 TO 7 GENERATE xor_out(i) <= xor_out(i-1) XOR parity_in(i); END GENERATE G2; parity_out <= xor_out(7); END parity_dataflow; 33 Copyright 2006 – Biz/ed
  • 34. Session 7 http://www.bized.co.uk • N- BIT REGISTER Example 34 34 Copyright 2006 – Biz/ed
  • 35. Session 7 http://www.bized.co.uk reset si so r r r r d q si q d q d q z0 clk z1 clk z2 clk z3 clk z4 clock 35 Copyright 2006 – Biz/ed
  • 36. http://www.bized.co.uk Next Session Evaluation Test Copyright 2006 – Biz/ed
  • 37. Session 7 http://www.bized.co.uk Questions Session-7 37 Copyright 2006 – Biz/ed
  • 38. Session 7 http://www.bized.co.uk Take Your Notes Print the slides and take your notes here --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- 38 Copyright 2006 – Biz/ed
  • 39. Session 7 http://www.bized.co.uk Take Your Notes Print the slides and take your notes here --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------------------------------------------------------- 39 Copyright 2006 – Biz/ed
  • 40. Session 7 http://www.bized.co.uk See You Next Session 40 Copyright 2006 – Biz/ed