SlideShare uma empresa Scribd logo
1 de 24
A market leader in the field of technical training is glad to
organize a seminar on VLSI Design (VHDL) to shape the career
of bright students and to turn them into a great engineer. We
hope to have a good time with you..!!!

                                Your concern and co-operation is highly valuable to us.
                                                                         ___________
                                                                       Team CETPA
*The above two are considered as a very difficult
tasks in the field of electronics engineering, where
in fact it’s a very simple technology.
 VHDL is for coding models of a digital system.
 Reasons for modeling:
  ◦ Requirements specification
  ◦ Documentation
  ◦ Testing using simulation
  ◦ Formal verification
  ◦ Synthesis
 Goal:
  ◦ Most ‘reliable’ design process, with minimum cost
    and time
  ◦ Avoid design errors!
 VHDL is a programming language that allows one
  to model and develop complex digital systems in a
  dynamic environment.

 Object Oriented methodology for you C people can
  be observed -- modules can be used and reused.

 Allows you to designate in/out ports (bits) and
  specify behavior or response of the system.
 C is procedural language whereas VHDL is semi
  concurrent & semi sequential language.

 C is Case Sensitive whereas VHDL is case
  insensitive.

 There are some similarities, as with any
  programming language, but syntax and logic are
  quite different.
•   Interfaces (PORTS)
•   Behavior
•   Structure
•   Test Benches
•   Simulation
•   Synthesis
Dataflow
Behavioral
Structural


Kind of BORING sounding huh??
Well, it gets more exciting with the details !!
              :)
Uses statements that defines the actual
flow of data.....
 such as,
    x <= y    -- this is NOT less than equal to
               -- told you its not C

    this assigns the Boolean signal x to the value of
      Boolean signal y... i.e. x = y
    this will occur whenever y changes....
Entity declaration…
(Describes the input/output ports of a module)

  entity name         port names             port mode (direction)

     entity reg4 is
         port ( d0, d1, d2, d3, en, clk : in bit;
                     q0, q1, q2, q3 : out bit );
     end entity reg4;
                                                       punctuation



                            port type
reserved words
 Architecture body
   Describes an implementation of an entity
   May be several per entity
 Behavioral architecture
   Describes the algorithm performed by the
    module
   Contains
    Process statements, each containing
       Sequential statements, including
        Signal assignment statements and
        Wait statements
 Omit entity at end of entity declaration.
 Omit architecture at end of architecture body.
 Omit is in process statement header.


   entity reg4 is                 architecture behav of reg4 is
   port ( d0, d1, d2 : in bit     begin
          d3, en, clk : in bit;       process (d0, ... )
       q0, q1, q2, q3 : out bit           ...
        );                            begin
   end reg4;                              ...
                                      end process ;
                                  end behav;
 Structural architecture
  implements the module as a composition of
   subsystems
  contains
    ○ signal declarations, for internal interconnections
      the entity ports are also treated as signals
    ○ component instances
      instances of previously declared entity/architecture pairs
    ○ port maps in component instances
      connect signals to component ports
 An architecture can contain both behavioral
  and structural parts
  Process statements and component instances
    ○ Collectively called concurrent statements
  Processes can read and assign to signals
 Example: register-transfer-level (RTL) model
  Data path described structurally
  Control section described behaviorally
multiplier   multiplicand



shift_reg



control_           shift_
section            adder



                    reg




                  product
• Testing a design by simulation
• Use a test bench model
  – A model that uses your model
  – Apply test sequences to your inputs
  – Monitors values on output signals
     • Either using simulator.
     • Or with a process that verifies correct operation
     • Or logic analyzer.
 Discrete event simulation
  Time advances in discrete steps.
  When signal values change—events occur.
 A processes is sensitive to events on input
  signals
  Specified in wait statements.
  Resumes and schedules new values on output
   signals.
    ○ Schedules transactions.
    ○ Event on a signal if value changes.
Initial Design Entry   VHDL, Schematic, State Diagram


                       Optimize Boolean Expression
                        into a standard form
Logic Optimization     - To optimize area or speed

                       Minimized Blocks
Technology Mapping     - To minimize area

                       Where the logic block is placed ?
     Placement         - With optimum routing wire

                       Connection between cells
      Routing          - To minimize area.


                       Used to configure the final
 Programming Unit      circuit
• Implement the VHDL portion of coding for synthesis.
• Identify the differences between behavioral and
  structural coding styles.
• Distinguish coding for synthesis versus coding for
  simulation.
• Use scalar and composite data types to represent
  information.
• Use concurrent and sequential control structure to
  regulate information flow.
• Implement common VHDL constructs (Finite State
  Machines [FSMs], RAM/ROM data structures).
• Executable specification.
• Functionality separated from implementation.
• Simulate early and fast (Manage complexity)
• Explore design alternatives.
• Get feedback (Produce better designs)
• Automatic synthesis and test generation (ATPG for
  ASICs)
• Increase productivity (Shorten time-to-market)
• Technology and tool independence.
• Portable design data (Protect investment)
•   Digital Signal Processing.
•   IC Testing & Analysis.
•   FPGA Design Verification.
•   FPGA Development.
•   Hardware Design.
•   IC designing.
•   ASIC Development.
• THANK YOU
          E-Mail
    Gaurav_rai@live.com




•

Mais conteúdo relacionado

Mais procurados

Mais procurados (20)

vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 
VHDL
VHDLVHDL
VHDL
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Integrated circuits and digital functions
Integrated circuits and digital functionsIntegrated circuits and digital functions
Integrated circuits and digital functions
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Verilog operators.pptx
Verilog  operators.pptxVerilog  operators.pptx
Verilog operators.pptx
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
UNIT-III-DIGITAL SYSTEM DESIGN
UNIT-III-DIGITAL SYSTEM DESIGNUNIT-III-DIGITAL SYSTEM DESIGN
UNIT-III-DIGITAL SYSTEM DESIGN
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Field-programmable gate array
Field-programmable gate arrayField-programmable gate array
Field-programmable gate array
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
Vlsi
VlsiVlsi
Vlsi
 
Vlsi circuit design
Vlsi circuit designVlsi circuit design
Vlsi circuit design
 
Stick diagram basics
Stick diagram basicsStick diagram basics
Stick diagram basics
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Data types in verilog
Data types in verilogData types in verilog
Data types in verilog
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 

Destaque (11)

Synchronous design process
Synchronous design processSynchronous design process
Synchronous design process
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
VHDL Part 4
VHDL Part 4VHDL Part 4
VHDL Part 4
 
Designing Clocked Synchronous State Machine
Designing Clocked Synchronous State MachineDesigning Clocked Synchronous State Machine
Designing Clocked Synchronous State Machine
 
State Machine Design and Synthesis
State Machine Design and SynthesisState Machine Design and Synthesis
State Machine Design and Synthesis
 
Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...
 
Sequential Circuits - Flip Flops
Sequential Circuits - Flip FlopsSequential Circuits - Flip Flops
Sequential Circuits - Flip Flops
 
MSI Shift Registers
MSI Shift RegistersMSI Shift Registers
MSI Shift Registers
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Analysis of state machines & Conversion of models
Analysis of state machines & Conversion of modelsAnalysis of state machines & Conversion of models
Analysis of state machines & Conversion of models
 

Semelhante a Summer training vhdl

Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...
VLSICS Design
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
loyad20119
 

Semelhante a Summer training vhdl (20)

Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
 
Verilog
VerilogVerilog
Verilog
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
VLSI
VLSIVLSI
VLSI
 
Basics of Verilog.ppt
Basics of Verilog.pptBasics of Verilog.ppt
Basics of Verilog.ppt
 
Verilog Hardware Description Language.ppt
Verilog Hardware Description Language.pptVerilog Hardware Description Language.ppt
Verilog Hardware Description Language.ppt
 
Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...Accelerating system verilog uvm based vip to improve methodology for verifica...
Accelerating system verilog uvm based vip to improve methodology for verifica...
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
verification resume
verification resumeverification resume
verification resume
 

Mais de Arshit Rai

Mais de Arshit Rai (20)

Summer training introduction to matlab
Summer training  introduction to matlabSummer training  introduction to matlab
Summer training introduction to matlab
 
Summer training in matlab
Summer training in matlabSummer training in matlab
Summer training in matlab
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded system
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010
 
Summer training java
Summer training javaSummer training java
Summer training java
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle
 
Summer training
Summer trainingSummer training
Summer training
 
Summer training
Summer trainingSummer training
Summer training
 
Summer training plc & scada
Summer training  plc & scada Summer training  plc & scada
Summer training plc & scada
 
Summer training matlab
Summer training matlab Summer training matlab
Summer training matlab
 
Summer training java
Summer training javaSummer training java
Summer training java
 
Summer training embedded system
Summer training embedded systemSummer training embedded system
Summer training embedded system
 
Summer training embedded system and its scope
Summer training  embedded system and its scopeSummer training  embedded system and its scope
Summer training embedded system and its scope
 
Summer training robotics 2010
Summer training   robotics 2010Summer training   robotics 2010
Summer training robotics 2010
 
Summer training oracle
Summer training   oracle Summer training   oracle
Summer training oracle
 
Summer training introduction on embedded
Summer training   introduction on embedded Summer training   introduction on embedded
Summer training introduction on embedded
 
Summer training projects
Summer training projectsSummer training projects
Summer training projects
 

Último

Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
KarakKing
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
heathfieldcps1
 

Último (20)

Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structure
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptx
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptx
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptx
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 

Summer training vhdl

  • 1. A market leader in the field of technical training is glad to organize a seminar on VLSI Design (VHDL) to shape the career of bright students and to turn them into a great engineer. We hope to have a good time with you..!!! Your concern and co-operation is highly valuable to us. ___________ Team CETPA
  • 2.
  • 3. *The above two are considered as a very difficult tasks in the field of electronics engineering, where in fact it’s a very simple technology.
  • 4.  VHDL is for coding models of a digital system.  Reasons for modeling: ◦ Requirements specification ◦ Documentation ◦ Testing using simulation ◦ Formal verification ◦ Synthesis  Goal: ◦ Most ‘reliable’ design process, with minimum cost and time ◦ Avoid design errors!
  • 5.  VHDL is a programming language that allows one to model and develop complex digital systems in a dynamic environment.  Object Oriented methodology for you C people can be observed -- modules can be used and reused.  Allows you to designate in/out ports (bits) and specify behavior or response of the system.
  • 6.  C is procedural language whereas VHDL is semi concurrent & semi sequential language.  C is Case Sensitive whereas VHDL is case insensitive.  There are some similarities, as with any programming language, but syntax and logic are quite different.
  • 7. Interfaces (PORTS) • Behavior • Structure • Test Benches • Simulation • Synthesis
  • 8. Dataflow Behavioral Structural Kind of BORING sounding huh?? Well, it gets more exciting with the details !! :)
  • 9. Uses statements that defines the actual flow of data..... such as, x <= y -- this is NOT less than equal to -- told you its not C this assigns the Boolean signal x to the value of Boolean signal y... i.e. x = y this will occur whenever y changes....
  • 10. Entity declaration… (Describes the input/output ports of a module) entity name port names port mode (direction) entity reg4 is port ( d0, d1, d2, d3, en, clk : in bit; q0, q1, q2, q3 : out bit ); end entity reg4; punctuation port type reserved words
  • 11.  Architecture body  Describes an implementation of an entity  May be several per entity  Behavioral architecture  Describes the algorithm performed by the module  Contains Process statements, each containing  Sequential statements, including Signal assignment statements and Wait statements
  • 12.  Omit entity at end of entity declaration.  Omit architecture at end of architecture body.  Omit is in process statement header. entity reg4 is architecture behav of reg4 is port ( d0, d1, d2 : in bit begin d3, en, clk : in bit; process (d0, ... ) q0, q1, q2, q3 : out bit ... ); begin end reg4; ... end process ; end behav;
  • 13.  Structural architecture implements the module as a composition of subsystems contains ○ signal declarations, for internal interconnections the entity ports are also treated as signals ○ component instances instances of previously declared entity/architecture pairs ○ port maps in component instances connect signals to component ports
  • 14.  An architecture can contain both behavioral and structural parts Process statements and component instances ○ Collectively called concurrent statements Processes can read and assign to signals  Example: register-transfer-level (RTL) model Data path described structurally Control section described behaviorally
  • 15. multiplier multiplicand shift_reg control_ shift_ section adder reg product
  • 16. • Testing a design by simulation • Use a test bench model – A model that uses your model – Apply test sequences to your inputs – Monitors values on output signals • Either using simulator. • Or with a process that verifies correct operation • Or logic analyzer.
  • 17.  Discrete event simulation Time advances in discrete steps. When signal values change—events occur.  A processes is sensitive to events on input signals Specified in wait statements. Resumes and schedules new values on output signals. ○ Schedules transactions. ○ Event on a signal if value changes.
  • 18. Initial Design Entry VHDL, Schematic, State Diagram Optimize Boolean Expression into a standard form Logic Optimization - To optimize area or speed Minimized Blocks Technology Mapping - To minimize area Where the logic block is placed ? Placement - With optimum routing wire Connection between cells Routing - To minimize area. Used to configure the final Programming Unit circuit
  • 19.
  • 20.
  • 21. • Implement the VHDL portion of coding for synthesis. • Identify the differences between behavioral and structural coding styles. • Distinguish coding for synthesis versus coding for simulation. • Use scalar and composite data types to represent information. • Use concurrent and sequential control structure to regulate information flow. • Implement common VHDL constructs (Finite State Machines [FSMs], RAM/ROM data structures).
  • 22. • Executable specification. • Functionality separated from implementation. • Simulate early and fast (Manage complexity) • Explore design alternatives. • Get feedback (Produce better designs) • Automatic synthesis and test generation (ATPG for ASICs) • Increase productivity (Shorten time-to-market) • Technology and tool independence. • Portable design data (Protect investment)
  • 23. Digital Signal Processing. • IC Testing & Analysis. • FPGA Design Verification. • FPGA Development. • Hardware Design. • IC designing. • ASIC Development.
  • 24. • THANK YOU E-Mail Gaurav_rai@live.com •