SlideShare uma empresa Scribd logo
1 de 52
1
2
 Module I : Modelling and Logic Simulation:
 Introduction, Functional modelling at the
logic and the register level, Structural models,
Level of modelling. Type of simulation,
unknown logic value, compiled simulation,
Event-driven simulation, different delay
models, Hazard Detection.
3
 1. M.Abramovici, M.A. Breuer, A.D. Friedman,
“Digital systems testing and testable design”,
Jaico Publishing House.
 2. Kwang-Ting (Tim) Cheng and Vishwani D.
Agrawal, “Unified Methods for VLSI Simulation
and Test Generation” The Springer
International Series in Engineering (Jun 30,
1989).
4
 Laboratory Assignments/Experiments:
 1. Simulate a single input signature analyzer for
given characteristic equation and input sequence.
 2. Implement different compression techniques like
ones- count, transition- count.
 3. Implement self checking system in automatic
detection of fault.
 4. Implement different fault models using back end
tool.
 5. Design event driven simulation model using VLSI
simulation software.
5
6
7
Determine requirements
Write specifications
Design synthesis and Verification
Fabrication
Manufacturing test
Chips to customer
Customer’s need
Test development
 Design synthesis: Given an I/O function, develop
a procedure to manufacture a device using
known materials and processes.
 Verification: Predictive analysis to ensure that
the synthesized design, when manufactured, will
perform the given I/O function.
 Test: A manufacturing step that ensures that the
physical device, manufactured from the
synthesized design, has no manufacturing
defect.
8
9
The complexity of circuit is related to level of
abstraction
 Verifies correctness of
design.
 Performed by simulation,
hardware emulation, or
formal methods.
 Performed once prior to
manufacturing.
 Responsible for quality of
design.
 Verifies correctness of
manufactured hardware.
 Two-part process:
◦ 1. Test generation: software
process executed once during
design
◦ 2. Test application: electrical
tests applied to hardware
 Test application performed on
every manufactured device.
 Responsible for quality of devices.
10
 Ideal tests detect all defects produced in the
manufacturing process.
 Ideal tests pass all functionally good devices.
 Very large numbers and varieties of possible
defects need to be tested.
 Difficult to generate tests for some real
defects. Defect-oriented testing is an open
problem.
11
 Based on analyzable fault models, which may
not map on real defects.
 Incomplete coverage of modeled faults due to
high complexity.
 Some good chips are rejected. The fraction
(or percentage) of such chips is called the
yield loss.
 Some bad chips pass tests. The fraction (or
percentage) of bad chips among all passing
chips is called the defect level.
12
13
Fabricated
chips
Good chips
Defective chips
Prob(good) = y
Prob(bad) = 1- y
Prob(pass test) = high
Prob(fail test) = high
Mostly
good
chips
Mostly
bad
chips
 Design for testability (DFT)
◦ Chip area overhead and yield reduction
◦ Performance overhead
 Software processes of test
◦ Test generation and fault simulation
◦ Test programming and debugging
 Manufacturing test
◦ Automatic test equipment (ATE) capital cost
◦ Test center operational cost
14
15
DFT refers to hardware design styles or added
hardware that reduces test generation complexity.
Motivation: Test generation complexity increases
exponentially with the size of the circuit.
Logic
block A
Logic
block B
PI PO
Test
input
Test
output
Int.
bus
Example: Test hardware applies tests to blocks A
and B and to internal bus; avoids test generation
for combined A and B blocks.
 Detection: Determination whether or not the
device under test (DUT) has some fault.
 Diagnosis: Identification of a specific fault
that is present on DUT.
 Device characterization: Determination and
correction of errors in design and/or test
procedure.
 Failure mode analysis (FMA): Determination
of manufacturing process errors that may
have caused defects on the DUT.
16
17
DSP
cor
e
RAM
ROM
Inter-
face
logic
Mixed-
signal
Codec
Data
terminal
Transmission
medium
 An instance of an incorrect operation of the
system being tested is referred to as
observed error.

18
19
20
21
22
23
 Need to understand Automatic Test Equipment
(ATE) technology
◦ Influences what tests are possible
◦ Serious analog measurement limitations at high
digital frequency or in the analog domain
◦ Understand capabilities for digital logic, memory, and
analog test for testing System-on-a-Chip (SOC)
 Need to understand parametric testing
◦ For setup and hold time measurements
◦ For determination of VIL , VIH , VOL , VOH , tr , tf , td , IOL,
IOH , IIL, IIH
24
 Verification testing, characterization testing,
or design debug
◦ Verifies correctness of design and correctness of
test procedure – may require correction of either
or both
 Manufacturing testing
◦ Factory testing of all manufactured chips for
parametric and logic faults, and analog
specifications
◦ Burn-in or stress testing
 Acceptance testing (incoming inspection)
◦ User (customer) tests purchased parts to ensure
quality
25
26
 Consists of:
◦ Powerful computer
◦ Powerful 32-bit Digital Signal Processor (DSP) for
analog testing
◦ Test Program (written in high-level language)
running on the computer
◦ Probe Head (actually touches the bare or
packaged chip to perform fault detection
experiments)
◦ Probe Card or Membrane Probe (contains
electronics to measure signals on chip pin or pad)
27
 Determines whether manufactured chip
meets specification
 Must cover high % of modeled faults
 Must minimize test time (to control cost)
 No fault diagnosis
 Test every device on chip
 Test at rated speed or at maximum speed
guaranteed by supplier
28
 Process:
◦ Subject chips to high temperature and over-voltage
supply, while running production tests
 Catches:
◦ Infant mortality cases – these are damaged or weak
(low reliability) chips that will fail in the first few
days of operation – burn-in causes bad devices to
fail before they are shipped to customers
◦ Freak failures – devices having same failure
mechanisms as reliable devices
29
 Parametric – measures electrical properties
of pin electronics – delay, voltages,
currents, etc. – fast and cheap
 Functional – used to cover very high % of
modeled faults – test every transistor and
wire in digital circuits – long and expensive
– main topic of tutorial
30
 Test Specifications:
◦ Functional Characteristics
◦ Type of Device Under Test (DUT)
◦ Physical Constraints – package, pin numbers, etc.
◦ Environmental Characteristics – power supply,
temperature, humidity, etc.
◦ Reliability – acceptance quality level (defects/million),
failure rate, etc.
 Test plan generated from specifications
◦ Type of test equipment to use
◦ Types of tests
◦ Fault coverage requirement
31
32
 Uses of ATE test data:
◦ Reject bad DUTs
◦ Fabrication process information
◦ Design weakness information
 Devices that did not fail are good only if
tests covered 100% of faults
 Failure mode analysis (FMA):
◦ Diagnose reasons for device failure, and find
design and process weaknesses
◦ Improve logic and layout design rules
33
34
35
36
 Uses 0.35μ VLSI chips in implementation
 1,024 digital pin channels
 Speed: 250, 500, or 1000 MHz
 Timing accuracy: +/- 200 ps
 Drive voltage: - 2.5 to 6 V
 Clock/strobe accuracy: +/- 870 ps
 Clock settling resolution: 31.25 ps
 Pattern multiplexing: write 2 patterns in one
ATE cycle
 Pin multiplexing: use 2 pins to control 1
DUT pin
37
 Sequential pattern generator (SQPG): stores 16
Mvectors of patterns to apply to DUT -- vector
width determined by # DUT pins
 Algorithmic pattern generator (ALPG): 32
independent address bits, 36 data bits
◦ For memory test – has address descrambler
◦ Has address failure memory
 Scan pattern generator (SCPG) supports JTAG
boundary scan, greatly reduces test vector
memory for full-scan testing
◦ 2 Gvector or 8 Gvector sizes
38
39
 Intended for SOC test – digital, analog, and
memory test – supports scan-based test
 Modular – can be upgraded with additional
instruments as test requirements change
 enVision Operating System
 1 or 2 test heads per tester, maximum of
1024 digital pins, 1 GHz maximum test rate
 Maximum 64 Mvectors memory storage
 Analog instruments: DSP-based
synthesizers, digitizers, time measurement,
power test, Radio Frequency (RF) source
and measurement capability (4.3 GHz)
40
41
1. Probe test (wafer sort) – catches gross
defects
2. Contact electrical test
3. Functional & layout-related test
4. DC parametric test
5. AC parametric test
◦ Unacceptable voltage/current/delay at pin
◦ Unacceptable device operation limits
42
43
1. Set all inputs to 0 V
2. Force current Ifb out of pin (expect Ifb to be
100 to 250 mA)
3. Measure pin voltage Vpin. Calculate pin
resistance R
 Contact short (R = 0 W)
 No problem
 Pin open circuited (R huge), Ifb and Vpin large
44
1. Set temperature to worst case, open
circuit DUT outputs
2. Measure maximum device current drawn
from supply ICC at specified voltage
◦ ICC > 70 mA (fails)
◦ 40 mA < ICC ≤ 70 mA (ok)
45
1. Make chip output a 1
2. Short output pin to 0 V
3. Measure short current (but not for long, or
the pin driver burns out)
◦ Short current > 40 μA (ok)
◦ Short current ≤ 40 μA (fails)
46
1. Apply vector forcing pin to 0
2. Simultaneously force VOL voltage and
measure IOL
3. Repeat Step 2 for logic 1
◦ IOL < 2.1 mA (fails)
◦ IOH < -1 mA (fails)
47
1. For each I/P pin, write logic 0 followed by
propagation pattern to output. Read
output. Increase input voltage in 0.1 V
steps until output value is wrong
2. Repeat process, but stepping down from
logic 1 by 0.1 V until output value fails
◦ Wrong output when 0 input > 0.8 V (ok)
◦ Wrong output when 0 input ≤ 0.8 V (fails)
◦ Wrong output when 1 input < 2.0 V (ok)
◦ Wrong output when 1 input ≥ 2.0 V (fails)
48
49
50
51
1. Apply standard output pin load (RC or RL)
2. Apply input pulse with specific rise/fall
3. Measure propagation delay from input to
output
 Delay between 5 ns and 40 ns (ok)
 Delay outside range (fails)
52

Mais conteúdo relacionado

Semelhante a L1_Introduction.ppt

Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow ControllersEffective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Lionel Briand
 
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware DesignPramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
Pramod Jangam
 

Semelhante a L1_Introduction.ppt (20)

智慧檢測技術與工業自動化
智慧檢測技術與工業自動化智慧檢測技術與工業自動化
智慧檢測技術與工業自動化
 
ATE-info
ATE-infoATE-info
ATE-info
 
final report
final reportfinal report
final report
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
 
Breakthrough in Quality Management
Breakthrough in Quality ManagementBreakthrough in Quality Management
Breakthrough in Quality Management
 
OPAL-RT RT13: Relay testing with HYPERSIM
OPAL-RT RT13: Relay testing with HYPERSIMOPAL-RT RT13: Relay testing with HYPERSIM
OPAL-RT RT13: Relay testing with HYPERSIM
 
Microcontroller from basic_to_advanced
Microcontroller from basic_to_advancedMicrocontroller from basic_to_advanced
Microcontroller from basic_to_advanced
 
Design for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTDesign for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFT
 
lect18.pdf
lect18.pdflect18.pdf
lect18.pdf
 
Rathinasabapathy
RathinasabapathyRathinasabapathy
Rathinasabapathy
 
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow ControllersEffective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
Effective Test Suites for ! Mixed Discrete-Continuous Stateflow Controllers
 
SMC PME-500-TR Circuit Breaker Analyzer
SMC PME-500-TR Circuit Breaker AnalyzerSMC PME-500-TR Circuit Breaker Analyzer
SMC PME-500-TR Circuit Breaker Analyzer
 
Heart rate monitor system
Heart rate monitor systemHeart rate monitor system
Heart rate monitor system
 
HYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection WebinarHYPERSIM Relay Protection Webinar
HYPERSIM Relay Protection Webinar
 
Plastic testing instruments
Plastic testing instrumentsPlastic testing instruments
Plastic testing instruments
 
mod7.pdf
mod7.pdfmod7.pdf
mod7.pdf
 
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware DesignPramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
Pramod J Resume M.Sc. (Electronics) 3.5 Year Exprience in Hardware Design
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 
Led lighting test - Instrumentos de Medida S.L.
Led lighting test - Instrumentos de Medida S.L.Led lighting test - Instrumentos de Medida S.L.
Led lighting test - Instrumentos de Medida S.L.
 
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED  pH...
MIXED SIGNAL VLSI TECHNOLOGY BASED SoC DESIGN FOR TEMPERATURE COMPENSATED pH...
 

Mais de Varsha506533 (10)

Lect3_ customizable.pptx
Lect3_ customizable.pptxLect3_ customizable.pptx
Lect3_ customizable.pptx
 
Lect3.pptx
Lect3.pptxLect3.pptx
Lect3.pptx
 
Lect1_ DSP.pptx
Lect1_ DSP.pptxLect1_ DSP.pptx
Lect1_ DSP.pptx
 
Lect1a_ basics of DSP.pptx
Lect1a_ basics of DSP.pptxLect1a_ basics of DSP.pptx
Lect1a_ basics of DSP.pptx
 
Lect4_ customizable.pptx
Lect4_ customizable.pptxLect4_ customizable.pptx
Lect4_ customizable.pptx
 
IO.ppt
IO.pptIO.ppt
IO.ppt
 
Lect 2a Direct Current Motor Drives.pptx
Lect 2a Direct Current Motor Drives.pptxLect 2a Direct Current Motor Drives.pptx
Lect 2a Direct Current Motor Drives.pptx
 
Lecture 1b Selection of Motor Rating.pptx
Lecture 1b Selection of Motor Rating.pptxLecture 1b Selection of Motor Rating.pptx
Lecture 1b Selection of Motor Rating.pptx
 
Lecture 1a Selection of Motor Rating.pptx
Lecture 1a Selection of Motor Rating.pptxLecture 1a Selection of Motor Rating.pptx
Lecture 1a Selection of Motor Rating.pptx
 
Intro Basic of OS .ppt
Intro Basic of OS .pptIntro Basic of OS .ppt
Intro Basic of OS .ppt
 

Último

"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
mphochane1998
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
HenryBriggs2
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
MsecMca
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
jaanualu31
 

Último (20)

HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptxS1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
 
Introduction to Serverless with AWS Lambda
Introduction to Serverless with AWS LambdaIntroduction to Serverless with AWS Lambda
Introduction to Serverless with AWS Lambda
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
Online food ordering system project report.pdf
Online food ordering system project report.pdfOnline food ordering system project report.pdf
Online food ordering system project report.pdf
 
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments""Lesotho Leaps Forward: A Chronicle of Transformative Developments"
"Lesotho Leaps Forward: A Chronicle of Transformative Developments"
 
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
scipt v1.pptxcxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx...
 
Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086Minimum and Maximum Modes of microprocessor 8086
Minimum and Maximum Modes of microprocessor 8086
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
kiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal loadkiln thermal load.pptx kiln tgermal load
kiln thermal load.pptx kiln tgermal load
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 

L1_Introduction.ppt

  • 1. 1
  • 2. 2
  • 3.  Module I : Modelling and Logic Simulation:  Introduction, Functional modelling at the logic and the register level, Structural models, Level of modelling. Type of simulation, unknown logic value, compiled simulation, Event-driven simulation, different delay models, Hazard Detection. 3
  • 4.  1. M.Abramovici, M.A. Breuer, A.D. Friedman, “Digital systems testing and testable design”, Jaico Publishing House.  2. Kwang-Ting (Tim) Cheng and Vishwani D. Agrawal, “Unified Methods for VLSI Simulation and Test Generation” The Springer International Series in Engineering (Jun 30, 1989). 4
  • 5.  Laboratory Assignments/Experiments:  1. Simulate a single input signature analyzer for given characteristic equation and input sequence.  2. Implement different compression techniques like ones- count, transition- count.  3. Implement self checking system in automatic detection of fault.  4. Implement different fault models using back end tool.  5. Design event driven simulation model using VLSI simulation software. 5
  • 6. 6
  • 7. 7 Determine requirements Write specifications Design synthesis and Verification Fabrication Manufacturing test Chips to customer Customer’s need Test development
  • 8.  Design synthesis: Given an I/O function, develop a procedure to manufacture a device using known materials and processes.  Verification: Predictive analysis to ensure that the synthesized design, when manufactured, will perform the given I/O function.  Test: A manufacturing step that ensures that the physical device, manufactured from the synthesized design, has no manufacturing defect. 8
  • 9. 9 The complexity of circuit is related to level of abstraction
  • 10.  Verifies correctness of design.  Performed by simulation, hardware emulation, or formal methods.  Performed once prior to manufacturing.  Responsible for quality of design.  Verifies correctness of manufactured hardware.  Two-part process: ◦ 1. Test generation: software process executed once during design ◦ 2. Test application: electrical tests applied to hardware  Test application performed on every manufactured device.  Responsible for quality of devices. 10
  • 11.  Ideal tests detect all defects produced in the manufacturing process.  Ideal tests pass all functionally good devices.  Very large numbers and varieties of possible defects need to be tested.  Difficult to generate tests for some real defects. Defect-oriented testing is an open problem. 11
  • 12.  Based on analyzable fault models, which may not map on real defects.  Incomplete coverage of modeled faults due to high complexity.  Some good chips are rejected. The fraction (or percentage) of such chips is called the yield loss.  Some bad chips pass tests. The fraction (or percentage) of bad chips among all passing chips is called the defect level. 12
  • 13. 13 Fabricated chips Good chips Defective chips Prob(good) = y Prob(bad) = 1- y Prob(pass test) = high Prob(fail test) = high Mostly good chips Mostly bad chips
  • 14.  Design for testability (DFT) ◦ Chip area overhead and yield reduction ◦ Performance overhead  Software processes of test ◦ Test generation and fault simulation ◦ Test programming and debugging  Manufacturing test ◦ Automatic test equipment (ATE) capital cost ◦ Test center operational cost 14
  • 15. 15 DFT refers to hardware design styles or added hardware that reduces test generation complexity. Motivation: Test generation complexity increases exponentially with the size of the circuit. Logic block A Logic block B PI PO Test input Test output Int. bus Example: Test hardware applies tests to blocks A and B and to internal bus; avoids test generation for combined A and B blocks.
  • 16.  Detection: Determination whether or not the device under test (DUT) has some fault.  Diagnosis: Identification of a specific fault that is present on DUT.  Device characterization: Determination and correction of errors in design and/or test procedure.  Failure mode analysis (FMA): Determination of manufacturing process errors that may have caused defects on the DUT. 16
  • 18.  An instance of an incorrect operation of the system being tested is referred to as observed error.  18
  • 19. 19
  • 20. 20
  • 21. 21
  • 22. 22
  • 23. 23
  • 24.  Need to understand Automatic Test Equipment (ATE) technology ◦ Influences what tests are possible ◦ Serious analog measurement limitations at high digital frequency or in the analog domain ◦ Understand capabilities for digital logic, memory, and analog test for testing System-on-a-Chip (SOC)  Need to understand parametric testing ◦ For setup and hold time measurements ◦ For determination of VIL , VIH , VOL , VOH , tr , tf , td , IOL, IOH , IIL, IIH 24
  • 25.  Verification testing, characterization testing, or design debug ◦ Verifies correctness of design and correctness of test procedure – may require correction of either or both  Manufacturing testing ◦ Factory testing of all manufactured chips for parametric and logic faults, and analog specifications ◦ Burn-in or stress testing  Acceptance testing (incoming inspection) ◦ User (customer) tests purchased parts to ensure quality 25
  • 26. 26
  • 27.  Consists of: ◦ Powerful computer ◦ Powerful 32-bit Digital Signal Processor (DSP) for analog testing ◦ Test Program (written in high-level language) running on the computer ◦ Probe Head (actually touches the bare or packaged chip to perform fault detection experiments) ◦ Probe Card or Membrane Probe (contains electronics to measure signals on chip pin or pad) 27
  • 28.  Determines whether manufactured chip meets specification  Must cover high % of modeled faults  Must minimize test time (to control cost)  No fault diagnosis  Test every device on chip  Test at rated speed or at maximum speed guaranteed by supplier 28
  • 29.  Process: ◦ Subject chips to high temperature and over-voltage supply, while running production tests  Catches: ◦ Infant mortality cases – these are damaged or weak (low reliability) chips that will fail in the first few days of operation – burn-in causes bad devices to fail before they are shipped to customers ◦ Freak failures – devices having same failure mechanisms as reliable devices 29
  • 30.  Parametric – measures electrical properties of pin electronics – delay, voltages, currents, etc. – fast and cheap  Functional – used to cover very high % of modeled faults – test every transistor and wire in digital circuits – long and expensive – main topic of tutorial 30
  • 31.  Test Specifications: ◦ Functional Characteristics ◦ Type of Device Under Test (DUT) ◦ Physical Constraints – package, pin numbers, etc. ◦ Environmental Characteristics – power supply, temperature, humidity, etc. ◦ Reliability – acceptance quality level (defects/million), failure rate, etc.  Test plan generated from specifications ◦ Type of test equipment to use ◦ Types of tests ◦ Fault coverage requirement 31
  • 32. 32
  • 33.  Uses of ATE test data: ◦ Reject bad DUTs ◦ Fabrication process information ◦ Design weakness information  Devices that did not fail are good only if tests covered 100% of faults  Failure mode analysis (FMA): ◦ Diagnose reasons for device failure, and find design and process weaknesses ◦ Improve logic and layout design rules 33
  • 34. 34
  • 35. 35
  • 36. 36
  • 37.  Uses 0.35μ VLSI chips in implementation  1,024 digital pin channels  Speed: 250, 500, or 1000 MHz  Timing accuracy: +/- 200 ps  Drive voltage: - 2.5 to 6 V  Clock/strobe accuracy: +/- 870 ps  Clock settling resolution: 31.25 ps  Pattern multiplexing: write 2 patterns in one ATE cycle  Pin multiplexing: use 2 pins to control 1 DUT pin 37
  • 38.  Sequential pattern generator (SQPG): stores 16 Mvectors of patterns to apply to DUT -- vector width determined by # DUT pins  Algorithmic pattern generator (ALPG): 32 independent address bits, 36 data bits ◦ For memory test – has address descrambler ◦ Has address failure memory  Scan pattern generator (SCPG) supports JTAG boundary scan, greatly reduces test vector memory for full-scan testing ◦ 2 Gvector or 8 Gvector sizes 38
  • 39. 39
  • 40.  Intended for SOC test – digital, analog, and memory test – supports scan-based test  Modular – can be upgraded with additional instruments as test requirements change  enVision Operating System  1 or 2 test heads per tester, maximum of 1024 digital pins, 1 GHz maximum test rate  Maximum 64 Mvectors memory storage  Analog instruments: DSP-based synthesizers, digitizers, time measurement, power test, Radio Frequency (RF) source and measurement capability (4.3 GHz) 40
  • 41. 41
  • 42. 1. Probe test (wafer sort) – catches gross defects 2. Contact electrical test 3. Functional & layout-related test 4. DC parametric test 5. AC parametric test ◦ Unacceptable voltage/current/delay at pin ◦ Unacceptable device operation limits 42
  • 43. 43
  • 44. 1. Set all inputs to 0 V 2. Force current Ifb out of pin (expect Ifb to be 100 to 250 mA) 3. Measure pin voltage Vpin. Calculate pin resistance R  Contact short (R = 0 W)  No problem  Pin open circuited (R huge), Ifb and Vpin large 44
  • 45. 1. Set temperature to worst case, open circuit DUT outputs 2. Measure maximum device current drawn from supply ICC at specified voltage ◦ ICC > 70 mA (fails) ◦ 40 mA < ICC ≤ 70 mA (ok) 45
  • 46. 1. Make chip output a 1 2. Short output pin to 0 V 3. Measure short current (but not for long, or the pin driver burns out) ◦ Short current > 40 μA (ok) ◦ Short current ≤ 40 μA (fails) 46
  • 47. 1. Apply vector forcing pin to 0 2. Simultaneously force VOL voltage and measure IOL 3. Repeat Step 2 for logic 1 ◦ IOL < 2.1 mA (fails) ◦ IOH < -1 mA (fails) 47
  • 48. 1. For each I/P pin, write logic 0 followed by propagation pattern to output. Read output. Increase input voltage in 0.1 V steps until output value is wrong 2. Repeat process, but stepping down from logic 1 by 0.1 V until output value fails ◦ Wrong output when 0 input > 0.8 V (ok) ◦ Wrong output when 0 input ≤ 0.8 V (fails) ◦ Wrong output when 1 input < 2.0 V (ok) ◦ Wrong output when 1 input ≥ 2.0 V (fails) 48
  • 49. 49
  • 50. 50
  • 51. 51
  • 52. 1. Apply standard output pin load (RC or RL) 2. Apply input pulse with specific rise/fall 3. Measure propagation delay from input to output  Delay between 5 ns and 40 ns (ok)  Delay outside range (fails) 52