SlideShare uma empresa Scribd logo
1 de 16
• Click to editgMasterstext u s i n g V H D L
             D i i t a l D e i g n styles
    – Second level      Tutorial [1]
       • Third level
           – Fourth level
               » Fifth level



                                       Introduced by
Using ModelSim SE
       [1]
                                                                    Cairo-Egypt

                                                       Version 03 – June 2012 1
about Start Group


• Click to edit Master text styles
  Mahmoud Abdellatif
  – Second level
  Alaa Salah Shehata
     • Third Salah
  Mohamed level
  Mohamed Talaat
         – Fourth level
               » Fifth level
    start.courses@gmail.com               www.slideshare.net/StartGroup

    www.facebook.com/groups/start.group

    www.startgroup.weebly.com

   + 02 0122-4504158 M.A                  www.youtube.com/StartGroup2011
   + 02 0128-0090250 A.S

                                Tutorial [1]                               2
ModelSim Tutorial


• Click to edit Master text styles and Toolbars
                               Menus


   – Second level
      WorkSpace
      • Third level
      Projects, Files, Libraries
            – Fourth level
      used is shown here
                » Fifth level




                    Transcript Area
                    All ModelSim ordered is
                    written here in TCL script         Double Click on
                                                     ModelSim Icon on your
                                                           Desktop
                                      Tutorial [1]                       3
ModelSim Tutorial

                                               Create Project window
• Click to edit Master text styles             is opened
                                               Write Project name
   – Second level                              Press OK

        • Third level
            – Fourth level
                » Fifth level
 To create new Project
 File > New > Project




                                Tutorial [1]                           4
ModelSim Tutorial


• Click to edit Master text styles
    – Second level
        • Third level
             – Fourth level
                 » Fifth level


ModelSim asks to add items to       Write File name and_gate
Project, now we will add a new      Add File as Type VHDL
file                                Press OK
Click on Create New File            Press Close



                                 Tutorial [1]                  5
ModelSim Tutorial


• Click to edit Master text styles
                  WorkSpace now has new
                  and_gate of type VHDL
                                                     file

   – Second level      Note that status still unkonwn as
                       file not used
      • Third level
         – Fourth level
                                    Double Click on the File
             » Fifth level          Text editor appears on the right
                                    You are now ready to write your vhdl code




                               Tutorial [1]                                     6
ModelSim Tutorial


• Click to edit Master text styles
  – Second level
     • Third level
        – Fourth level
            » Fifth level




                            Tutorial [1]   7
ModelSim Tutorial


                 Press Compile (on the Left)
• Click to edit Master text styles
     – Second level
          • Third level
               – Fourth level
If file is compiled » Fifth level
                    correct a green message will
appear at the transcript of successful compile
operation

Also Status of Sile changed to be compiled




                                        Tutorial [1]   8
ModelSim Tutorial


            Instead of Compile Icon you can use menu
• Click to edit Master text styles
            File > Compile > Compile all
            Or simply write in Transcript
  –   Second level
            vcom and_gate.vhdl
       • Third level
            Where and_gate.vhd is the file name

            – Fourth level
                » Fifth level




                                  Tutorial [1]         9
ModelSim Tutorial

                To simulate
                Simulation > Start Simulation
• Click to edit Master text styles
                Then open WORK ? And_gate ? Behave ? OK
                Or simply write in transcript
  – Second levelvsim work.and_gate
                Where and_gate here is the name of yopur entity compiled
     • Third level
        – Fourth level
            » Fifth level




                                 Tutorial [1]                              10
ModelSim Tutorial

                Now on workspace you have new window SIM
                Right Click > Add > to wave > All Items In region and below
• Click to edit Master text styles
  – Second level
     • Third level
        – Fourth level
            » Fifth level




                                 Tutorial [1]                                 11
ModelSim Tutorial

                Wave window appears
                Now you are ready to simulate your code and show results
• Click to edit Master text styles
                Write click on signal a > Force > write value on a to test it
                Press Ok then repeat with b
  – Second level
     • Third level
        – Fourth level
            » Fifth level




                                  Tutorial [1]                                  12
ModelSim Tutorial

        Press Run to show results

• Click to edit Master text styles
        Use cursor to run over results


  – Second level
     • Third level
        – Fourth level
            » Fifth level




                                 To end simulation
                                 Simulate > End Simulation
                                     Tutorial [1]            13
ModelSim Tutorial

        Now try to use transcript only as shown write his to show how your

• Click to edit Master text styles
        orders are translated
        His
        You can rerun your code if its entity is the same by writing the same lines
  – Second level
        Try it now

     • Third level
        – Fourth level
            » Fifth level




                                     Tutorial [1]                                     14
Take Your Notes
                                       Print the slides and take your notes here
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
   • Click to edit Master text styles
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
          – Second level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                 • Third level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                        – Fourth level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                            » Fifth level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------

                                                             Tutorial [1]                                                      15
You are The Best


• Click to edit Master text styles
  – Second level
     • Third level
        – Fourth level
            » Fifth level




                            Tutorial [1]   16

Mais conteúdo relacionado

Semelhante a Tutorial 1

Semelhante a Tutorial 1 (14)

Session 07 v.3
Session 07 v.3Session 07 v.3
Session 07 v.3
 
Geek Sync | Database People and DevOps: The Fundamentals
Geek Sync | Database People and DevOps: The FundamentalsGeek Sync | Database People and DevOps: The Fundamentals
Geek Sync | Database People and DevOps: The Fundamentals
 
ALOA conceptual model: Aligning Learning Outcomes and Assessment
ALOA conceptual model: Aligning Learning Outcomes and AssessmentALOA conceptual model: Aligning Learning Outcomes and Assessment
ALOA conceptual model: Aligning Learning Outcomes and Assessment
 
MongoDB and MongoMK Source Event
MongoDB and MongoMK Source EventMongoDB and MongoMK Source Event
MongoDB and MongoMK Source Event
 
Decorator Design Pattern
Decorator Design PatternDecorator Design Pattern
Decorator Design Pattern
 
Well Planned is Half Done: Planning Projects in the Digitization World
Well Planned is Half Done: Planning Projects in the Digitization WorldWell Planned is Half Done: Planning Projects in the Digitization World
Well Planned is Half Done: Planning Projects in the Digitization World
 
DevSecOps Done Right - Strategies and Tools.pptx
DevSecOps Done Right - Strategies and Tools.pptxDevSecOps Done Right - Strategies and Tools.pptx
DevSecOps Done Right - Strategies and Tools.pptx
 
DiscoveringDH_ManagingDigitalProjects
DiscoveringDH_ManagingDigitalProjectsDiscoveringDH_ManagingDigitalProjects
DiscoveringDH_ManagingDigitalProjects
 
Session 01 v.3
Session 01 v.3Session 01 v.3
Session 01 v.3
 
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
 
MongoDB - KNOWARTH
MongoDB - KNOWARTHMongoDB - KNOWARTH
MongoDB - KNOWARTH
 
New Developments in the BREACH attack
New Developments in the BREACH attackNew Developments in the BREACH attack
New Developments in the BREACH attack
 
UsingWebLaunch
UsingWebLaunchUsingWebLaunch
UsingWebLaunch
 
Aging Pilot Discussion for 2012 Lighthawk Fly-In
Aging Pilot Discussion for 2012 Lighthawk Fly-InAging Pilot Discussion for 2012 Lighthawk Fly-In
Aging Pilot Discussion for 2012 Lighthawk Fly-In
 

Mais de Start Group

Mais de Start Group (8)

Errors
ErrorsErrors
Errors
 
Session 08 v.3
Session 08 v.3Session 08 v.3
Session 08 v.3
 
Session 04 v.3
Session 04 v.3Session 04 v.3
Session 04 v.3
 
Session 06 v.3
Session 06 v.3Session 06 v.3
Session 06 v.3
 
Session 05 v.3
Session 05 v.3Session 05 v.3
Session 05 v.3
 
Refresh your memory 1
Refresh your memory 1Refresh your memory 1
Refresh your memory 1
 
Session 02 v.3
Session 02 v.3Session 02 v.3
Session 02 v.3
 
Intrduction to the course v.3
Intrduction to the course v.3 Intrduction to the course v.3
Intrduction to the course v.3
 

Último

Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan CytotecJual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
ZurliaSoop
 
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
daisycvs
 
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai KuwaitThe Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
daisycvs
 
Mckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for ViewingMckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for Viewing
Nauman Safdar
 

Último (20)

Buy gmail accounts.pdf buy Old Gmail Accounts
Buy gmail accounts.pdf buy Old Gmail AccountsBuy gmail accounts.pdf buy Old Gmail Accounts
Buy gmail accounts.pdf buy Old Gmail Accounts
 
Pre Engineered Building Manufacturers Hyderabad.pptx
Pre Engineered  Building Manufacturers Hyderabad.pptxPre Engineered  Building Manufacturers Hyderabad.pptx
Pre Engineered Building Manufacturers Hyderabad.pptx
 
Durg CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN durg ESCORTS
Durg CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN durg ESCORTSDurg CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN durg ESCORTS
Durg CALL GIRL ❤ 82729*64427❤ CALL GIRLS IN durg ESCORTS
 
PARK STREET 💋 Call Girl 9827461493 Call Girls in Escort service book now
PARK STREET 💋 Call Girl 9827461493 Call Girls in  Escort service book nowPARK STREET 💋 Call Girl 9827461493 Call Girls in  Escort service book now
PARK STREET 💋 Call Girl 9827461493 Call Girls in Escort service book now
 
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
 
Falcon Invoice Discounting: Unlock Your Business Potential
Falcon Invoice Discounting: Unlock Your Business PotentialFalcon Invoice Discounting: Unlock Your Business Potential
Falcon Invoice Discounting: Unlock Your Business Potential
 
New 2024 Cannabis Edibles Investor Pitch Deck Template
New 2024 Cannabis Edibles Investor Pitch Deck TemplateNew 2024 Cannabis Edibles Investor Pitch Deck Template
New 2024 Cannabis Edibles Investor Pitch Deck Template
 
Escorts in Nungambakkam Phone 8250092165 Enjoy 24/7 Escort Service Enjoy Your...
Escorts in Nungambakkam Phone 8250092165 Enjoy 24/7 Escort Service Enjoy Your...Escorts in Nungambakkam Phone 8250092165 Enjoy 24/7 Escort Service Enjoy Your...
Escorts in Nungambakkam Phone 8250092165 Enjoy 24/7 Escort Service Enjoy Your...
 
Falcon Invoice Discounting: Empowering Your Business Growth
Falcon Invoice Discounting: Empowering Your Business GrowthFalcon Invoice Discounting: Empowering Your Business Growth
Falcon Invoice Discounting: Empowering Your Business Growth
 
PHX May 2024 Corporate Presentation Final
PHX May 2024 Corporate Presentation FinalPHX May 2024 Corporate Presentation Final
PHX May 2024 Corporate Presentation Final
 
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All TimeCall 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
Call 7737669865 Vadodara Call Girls Service at your Door Step Available All Time
 
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDINGBerhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
Berhampur CALL GIRL❤7091819311❤CALL GIRLS IN ESCORT SERVICE WE ARE PROVIDING
 
Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan CytotecJual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
Jual Obat Aborsi ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan Cytotec
 
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
Quick Doctor In Kuwait +2773`7758`557 Kuwait Doha Qatar Dubai Abu Dhabi Sharj...
 
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai KuwaitThe Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
The Abortion pills for sale in Qatar@Doha [+27737758557] []Deira Dubai Kuwait
 
Mckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for ViewingMckinsey foundation level Handbook for Viewing
Mckinsey foundation level Handbook for Viewing
 
Lucknow Housewife Escorts by Sexy Bhabhi Service 8250092165
Lucknow Housewife Escorts  by Sexy Bhabhi Service 8250092165Lucknow Housewife Escorts  by Sexy Bhabhi Service 8250092165
Lucknow Housewife Escorts by Sexy Bhabhi Service 8250092165
 
joint cost.pptx COST ACCOUNTING Sixteenth Edition ...
joint cost.pptx  COST ACCOUNTING  Sixteenth Edition                          ...joint cost.pptx  COST ACCOUNTING  Sixteenth Edition                          ...
joint cost.pptx COST ACCOUNTING Sixteenth Edition ...
 
Kalyan Call Girl 98350*37198 Call Girls in Escort service book now
Kalyan Call Girl 98350*37198 Call Girls in Escort service book nowKalyan Call Girl 98350*37198 Call Girls in Escort service book now
Kalyan Call Girl 98350*37198 Call Girls in Escort service book now
 
Falcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investorsFalcon Invoice Discounting: The best investment platform in india for investors
Falcon Invoice Discounting: The best investment platform in india for investors
 

Tutorial 1

  • 1. • Click to editgMasterstext u s i n g V H D L D i i t a l D e i g n styles – Second level Tutorial [1] • Third level – Fourth level » Fifth level Introduced by Using ModelSim SE [1] Cairo-Egypt Version 03 – June 2012 1
  • 2. about Start Group • Click to edit Master text styles Mahmoud Abdellatif – Second level Alaa Salah Shehata • Third Salah Mohamed level Mohamed Talaat – Fourth level » Fifth level start.courses@gmail.com www.slideshare.net/StartGroup www.facebook.com/groups/start.group www.startgroup.weebly.com + 02 0122-4504158 M.A www.youtube.com/StartGroup2011 + 02 0128-0090250 A.S Tutorial [1] 2
  • 3. ModelSim Tutorial • Click to edit Master text styles and Toolbars Menus – Second level WorkSpace • Third level Projects, Files, Libraries – Fourth level used is shown here » Fifth level Transcript Area All ModelSim ordered is written here in TCL script Double Click on ModelSim Icon on your Desktop Tutorial [1] 3
  • 4. ModelSim Tutorial Create Project window • Click to edit Master text styles is opened Write Project name – Second level Press OK • Third level – Fourth level » Fifth level To create new Project File > New > Project Tutorial [1] 4
  • 5. ModelSim Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level ModelSim asks to add items to Write File name and_gate Project, now we will add a new Add File as Type VHDL file Press OK Click on Create New File Press Close Tutorial [1] 5
  • 6. ModelSim Tutorial • Click to edit Master text styles WorkSpace now has new and_gate of type VHDL file – Second level Note that status still unkonwn as file not used • Third level – Fourth level Double Click on the File » Fifth level Text editor appears on the right You are now ready to write your vhdl code Tutorial [1] 6
  • 7. ModelSim Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level Tutorial [1] 7
  • 8. ModelSim Tutorial Press Compile (on the Left) • Click to edit Master text styles – Second level • Third level – Fourth level If file is compiled » Fifth level correct a green message will appear at the transcript of successful compile operation Also Status of Sile changed to be compiled Tutorial [1] 8
  • 9. ModelSim Tutorial Instead of Compile Icon you can use menu • Click to edit Master text styles File > Compile > Compile all Or simply write in Transcript – Second level vcom and_gate.vhdl • Third level Where and_gate.vhd is the file name – Fourth level » Fifth level Tutorial [1] 9
  • 10. ModelSim Tutorial To simulate Simulation > Start Simulation • Click to edit Master text styles Then open WORK ? And_gate ? Behave ? OK Or simply write in transcript – Second levelvsim work.and_gate Where and_gate here is the name of yopur entity compiled • Third level – Fourth level » Fifth level Tutorial [1] 10
  • 11. ModelSim Tutorial Now on workspace you have new window SIM Right Click > Add > to wave > All Items In region and below • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level Tutorial [1] 11
  • 12. ModelSim Tutorial Wave window appears Now you are ready to simulate your code and show results • Click to edit Master text styles Write click on signal a > Force > write value on a to test it Press Ok then repeat with b – Second level • Third level – Fourth level » Fifth level Tutorial [1] 12
  • 13. ModelSim Tutorial Press Run to show results • Click to edit Master text styles Use cursor to run over results – Second level • Third level – Fourth level » Fifth level To end simulation Simulate > End Simulation Tutorial [1] 13
  • 14. ModelSim Tutorial Now try to use transcript only as shown write his to show how your • Click to edit Master text styles orders are translated His You can rerun your code if its entity is the same by writing the same lines – Second level Try it now • Third level – Fourth level » Fifth level Tutorial [1] 14
  • 15. Take Your Notes Print the slides and take your notes here -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- • Click to edit Master text styles -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- – Second level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- • Third level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- – Fourth level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- » Fifth level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- Tutorial [1] 15
  • 16. You are The Best • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level Tutorial [1] 16