The document discusses progress in extreme ultraviolet lithography (EUVL) for semiconductor fabrication. It covers:
1) EUVL is a promising next-generation lithography technique needed to continue transistor scaling below 7nm, but faces challenges like resolution, line width roughness, and sensitivity.
2) Novel resist materials without chemical amplification are being developed to overcome issues with chemically amplified resists at small scales. Examples discussed are sulfonium-containing polymers that change solubility upon EUV exposure.
3) Experiments show these new resists can resolve lines as small as 16nm and complex nanostructures when exposed with EUV lithography. Continued improvements aim to enhance sensitivity.
Selaginella: features, morphology ,anatomy and reproduction.
Extreme Ultraviolet Litography (EUVL): novel patterning materials, progress and challenges.
1. 12th September 2017
XVI SBPMAT
Gramado Brazil
1
Kenneth E. Gonsalves
School of Basic Sciences
Indian Institute of Technology (IIT) Mandi,
Himachal Pradesh, India
Extreme Ultraviolet Lithography (EUVL):
Novel Patterning Materials, Progress and Challenges
2. 2
Brief Outline
Semiconductor IC fabrication technology/HVM
Lithography- prospect and technical challenges for EUVL
Resolution-LWR-sensitivity trade-off/CARs
Process flow layout of resists for NGL/non-CARs
Resist materials challenges for sub-7 nm EUV lithography
Metal oxide resists
Routes to achieve future technological EUVL nodes
Development of indigenous resist technologies
3. 3
• The semiconductor industry is approaching $400B/yr in sales
The IC Market
Transportation 8%
Autonomous vehicles
(Artificial Intelligence)? Consumer Electronics
16%
Communications 24%
Data networks?
Internet of ”things”?
Computers
42%
Industrial
8%
Military
2%
Medical &Health
4. 4
Improvements in IC performance and cost have been enabled by the steady miniaturization of the
transistor
IC Technology Advancement
Better
Performance
Transistor
Scaling
Market Growth
Investment
Smaller is Better
Intel continues to predictably shrink its manufacturing
technology in a series of "world firsts“
45 nm with high-k/metal gate in 2007 : Single
core Intel Atom™, dual core Intel Pentium®, Intel
Core i7,i5,i3 processors with six cores, and even
eight core Intel Xeon® processors
32 nm with high-k/metal gate in 2009: Eq.Tox of
high-k reduced from 1.0 nm (45 nm) to 0.9 nm
(32nm), gate length ~ 30-32 nm. (Enables a >22%
gain in terms of drive current & tightest gate pitch
reported in the industry).
22 nm with the world's first 3D transistor in a
high volume logic process beginning in 2011.
5. Ref: https://www.extremetech.com/computing/237781-samsungs-10nm-node-socs-now-in-mass-production
Foundry Futures : TSMC, Samsung , Global Foundry & Intel (Invidia, AMD?)
Gear Up for 7 nm and Beyond tools
Intel : Launching 10 nm technology node based mobile for market. While desktop & HEDT will
remain on 14 nm technology node.
TSMC planning a quick transition from 10 to 7 nm technology node in 2018.
In contrast Samsung planning to hold at 10 nm technology node for multiple product and planning
to introduce 8 nm node with conventional immersion and 7 nm with EUV 2019-2020.
8. Standardcell pattern ofmetal layerin7nmlogicpatternedbyArF-i MPT andEUV
EUV Advantages :-
EUV provides better pattern fidelity which allows higher design flexibility, better performance and scalability.
From HVM perspective EUV reduces the # of masks, which reduces cost and improves efficiency.
Standard cell pattern of Metal layer in 7nm logic patterned by ArF-i multiple pattering
and EUV single exposure
7nm node technology
10. Roadmap forLithography : DriverforScaling of ICTechnology
To achieve the required market it’s important to update lithography process and compatibilize it
for sub 10nm level patterning.
11. 11
EUVL uses 13.5 nm radiation, therefore it has introduced three fundamental differences with
respect to a 193-nm lithography
The use of reflective multilayer (Bragg type) optics instead of refractive optics
The plasma light source instead of a DUV laser
The vacuum environment with high level of contamination control instead of atmospheric pressure
as in DUV
EUV Lithography process
Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021
12. 12
Lithography prospect and technical challenge for EUVL
The EUV source should have a stable high power exposure with single wavelength and narrow
bandwidth, as well as a long lifespan of optics combinations.
Adv. Opt. Techn. 2012; 1(4): 269–278
15. Competing Options
Chemically Amplified (CA) Polymeric Resist
Diffusion is a challenge: E size trends UP
Non-CA /Inorganic/Semi-Inorganic Resist
Developer: Aqueous, Solvent(s), Alcohols/Blends
Patterning can be + or – tone depending on resist
Under Layer
Emphasis on bi-layer {SSQ (silsesquioxane) resist on organic hard mask}/ tri-layer (SSQ
resist/Spin on Glass)/ on organic hard mask materials
Inorganic resists might be pattern directly with hard mask
DSA
Extensions from 193nm or new concepts applicable to EUV
Top Coat
Improve E size; Reduce LWR; Abate outgassing impacts to patterning ?
All material options are on the table / under evaluation; Esize improvements needed
Photo Resists Materials Roadmap : Current status and challenges
16
16. C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
PAG
PAG
C O
O
PAGPAG
H+
C O
OH
C O
OH
C O
OH
C O
OH
1. PAG generates Acid Catalyst
CHEMICALLY AMPLIFIED RESISTS (CARS)
2. Catalytic Deprotection
PAGH+
17. C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
PAG
PAGPAGC O
OH
C O
OH
C O
OH
C O
OH
WASH w/ Aqueous Base Developer
18. 18
Resolution-LWR-sensitivity trade-off
As local variation of the line width and the distance between lines is linked to higher failure
rate for the transistor and, thus, poorer device functionality, it is of the foremost importance to
improve the LER performances
Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021
LWR = √2*LER
19. 19
Chemically Amplified Resists (CARs)
The very energetic (91.6 eV) photon will ionize any molecule in the resist and generate an
electron
This photoelectron (~80 eV) then generates a bunch of secondary electrons
Finally, these secondary electrons, less energetic (~20 eV), will activate the PAG and generate
the catalytic photoacid.
Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021
20. The present sub nanometer technology demands novel EUV resist materials that are directly
sensitive to radiation even without using the concept of chemical amplification (CARs).
Challenges in chemical amplified (CARs)
resist materials :
Acid diffusion
Sensitivity
Post exposure instability
Line width roughness (LWR)
Line edge roughness (LER <1.5 nm )
etc.
Motivation for Advanced CARs
EUV light
Mask
Generation of
Acid
Exposure
Acid Diffusion
in unexposed
area
Post Exposure bake
After Develop
21. PAG (Gonsalves et al*) bound methacrylate resin has been developed by DOW for EUVL because
of their following advantages:
The use of acrylate monomers allows a plethora of chemistry options for dialing in properties,
such as developer selectivity, etch resistance, low outgassing, and secondary electron yield
The attachment of the PAG anion to the resist polymer affords a very low acid blur, necessary
for the high resolution required
The use of chemical amplification is necessary to increase resist sensitivity
Gonsalves PAG patents US 8685616,7833690,7776505,7008749
Polymer-bound PAG resists
22. Fig : The image shows the capability of resolving down to 18-nm half-pitch with Polymer-bound PAG
resists
J. Micro/Nanolith. MEMS MOEMS 10(3), 033009
Designing of newer systems should focus on the following necessary improvements
Harvesting more EUV photons through increased EUV absorption and increased density.
Reducing the electron affinity of the matrix polymer to insure maximal secondary electron yield
Increasing the electron capture rate of the PAG to insure maximal quantum yield of acid generation
Synthesis of the most homogeneous PBP to insure uniform distribution of PAG and co-monomers.
Continued improvements of Polymer-bound PAG resist system
23. 23
Requirements for EUV resists
Low out gassing
Defect free patterns
low acid diffusion
high sensitivity
high resolution
Strategy for CAR improvements
Acid diffusion control resin in the CAR formulations
use of short acid diffusion PAG in the CAR formulations
Improved resolution
Acid diffusion control by higher Tg resin is effective to improve resolution and z-factor. JSR Micro
Novel EUV CARs for 13 nm half pitch resolution
24. 24
15, 14 and 13 nm hp lines are well resolved by JSR new CAR resist system.
However the improvement of sensitivity is required.
dose 25.2 mJ/cm2, LWR 5.3 nm @15 nm hp lines
Novel EUV CARs for 13 nm half pitch resolution
25. 25
Process Flow Layout of Resists for Next Generation Lithography
Synthesis of Resists Characterization EBL Exposure
EUVL for sub-20
nm (L/S ) patterns
Data Analysis
Data Analysis
Processing the Resist
Selected for Optimization
Photodynamics UFRGS
Synthesis of Monomers
Polymerizations
NMR, IR, GPC, TGA, DSC, and XPS
Thin Film Formation
Spin coat (thickness <40 nm)
Pre Bake
Sub 20 nm L/S patterns
Post Bake
TMAH Development
HRSEM Imaging
AFM-Measurement
26. 1. No PAG is Required
Non-CHEMICALLY AMPLIFIED RESISTS (n-CARS)
27. C O
O
C O
O
C O
O
C O
O
C O
O
C O
O
PAG
PAGPAGC O
OH
C O
OH
C O
OH
C O
OH
WASH w/ Aqueous Base Developer
28. 28
Sulfonate triflate groups
Highly Sensitive to UV Photons
Upon irradiation, hydrophilic sulfonium trflates
converts to hydrophobic sulfide units
Monomer
Sulfonium functionality one end and polymerizable
MMA (methylmethacrylate) other end
Photoresists with sulfonium trilfate group
Gonsalves et al., J. Mater. Chem. C., 2014, 2118-2122
30. 30
Resist 20 nm Isolated lines
pattern at dose 40
µC/cm2
20 nm with L/S
lines patterns 40
µC/cm2
MAPDST-
Homopolymer
MAPDST-
MMA
Copolymer
NRT Curve EBL Evaluation
16 nm lines with L/S patterns
e-beam lithography evaluation: process optimization
• Thin film formation
(Photoresist spin coating)
• Pre-bake conditions
• Post exposure bake
• Development conditions
• Dose Sensitivity etc
Process Optimization
Centre of Excellence in Nanoelectronics (CEN) facilities at IIT Bombay, India
31. The CH3SCH3 group
sensitive to the
irradiation.
Irradiation at 103.5 eV
produced fragments
(SO2, SO and CF3).
XPS, NEXAFS and
QMS techniques
confirms that low
stability of the triflate
group.
We hypothesize that
the neutral sulfide Ar-
S-CH3 is
formed during the
post exposure bake
and development
processes.
31
Conclusions
High-resolution XPS spectra of the C 1s (left) and S 2p (right) envelope of the MAPDST homo-
polymer films before irradiation and after 1 and 5 min of SR irradiation at 103.5 eV.
EUV photodynamic study :MAPDST Homo-polymer Resist
This study was performed at the Brazilian Synchrotron Light Source (LNLS) Campinas-
Prof. Weibel UFRGS
32. 32
EUV Exposure Details
Substrate : 4 Inch P-Type Silicon
Under Layer: HMDS
Resist: MAPDST-homopolymer
(Negative tone Resist)
Thickness: 45.68 nm
PEB/T: 90/90 ºC/Seconds
PAB/T: 100/90 ºC/Seconds
E0 Dose : 30mJ cm-2
Mask : IMO228775
Field: R4C3 (LBNL low-flare bright-field)
Developer : TMAH-1/18/10DIW
EUV Exposure of MAPDST Homopolymer Resist @ Berkeley MET Lab
Gonsalves et al, J. Micro/Nanolith. MEMS and MOEMS, 2014, 13, 43002.
33. 33
SEM Images of MAPDST Homopolymer Resist EUV Exposed @ Berkeley MET
USA Lab
Exposure dose 113.7 mJ/cm2
20
25
30
35
40
45
50
Mag. 16KX
Mag. 120KX
Mag. 120KX
a
a
b
b
a=25 nm L/S lines patterns
b=20 nm L/S lines patterns
K. E. Gonsalves, J. Micro/Nanolith. MEMS and MOEMS, 2014, 13, 43002.
34. 34
AFM Measurements
Fig: AFM images of MAPDST non chemically amplified negative tone resist on Si substrate. (a) Low-resolution image of
5x5 µm area topography view lines pattern. (b) High resolution view of 2.5x2.5 µm view of lines pattern. (c) 3D topography
view of 2.5x2.5 µm area of lines pattern. (d) Depth profile of lines pattern. (e) Sectional analysis of the lines pattern of
MAPDST copolymer resists.
35. 35Complex nano features EUVL exposed poly-MAPDST resist
A B C
F
I
ED
G H
Figure: complex nanofeatures of poly-MAPDST : a) 100 nm dots; b) 50 and 60 n dot; c) star elbow
connections; d-e) nano dots; f) nano-boats/waves/line-elbows; g) nano-ring; h-i) line features
36. 36AFM Measurements
(c)
(d)
(a) (b)
Figure: Complex nanofeatures of poly-MAPDST : a) 100 nm dots-2D view; b) 100 nm dots-3D View;
c) 50 nm dots 3-D view; d) 100 nm star-elbow connections.
38. 38
Incorporation of high EUV absorb SbF6 in the Poly-MAPDST structures
Antimony has high EUV optical density (8-10)
Hexafluoroantimonate (sensitivity enhancer).
Sensitivity for 20 nm (L/2S) features is 24.5
mJ/cm2. Roughly four times more sensitive than
Poly-MAPDST.
Collapse free nano patterns/complex features
have been achieved.
Improved nano-mechanical properties
(Modulus and adhesion).
MAPDSA-MAPDST hybrid copolymer
Gonsalves et al., Indian Patent appl. 2016-11022219
41. 41
Fig a) High resolution 20-90 nm lines with L/5S characteristics; (b) Higher magnification 20-40
nm lines with L/5S
(a)
(b)
40 35 30 25 20
L/5S
90 80 70 60 50 45 40 32 30 25 20
L/5S
FE-SEM images of various nano-line features obtained from MAPDSA-MAPDST
resist (dose: 33 mJ/cm2)
.
42. 42
HR-AFM images of complex nano-features and 20 nm L/5S-L/2S features obtained from
the MAPDSA-MAPDST resist (Dose: 24.5 mJ/cm2)
Gonsalves et al., Indian Patent application, 2016-11022219
(e)
Fig a) line-elbow connection; (b) nano-dots; (c) nano-rings; (d) star-elbow features; (e) 20 nm
line features with lines with L/5S-L/2S characteristics.
43. 43
Table 1. The DMT modulus (GPa) and adhesion (nN) values for 20, 22 and 28 line features of 1.5% and 2.15% resists with different
line/space characteristics in the range L/2S-L/5S.
Feature
Size
(L/5S) (L/4S) (L/3S) (L/2S)
SbF6 DMT
Modulus
Adhesion DMT
Modulus
Adhesion DMT
Modulus
Adhesion DMT
Modulus
Adh
esio
n
20 nm 1.5% 3.6±0.25 30±3 3.4±0.14 31±4 3.1±0.12 32±5 2.7±0.18 33±3
2.15% 5.2±0.12 38±2 5.1±0.18 36±2 5.0±0.11 35±2 4.95±0.2 34±4
22nm 1.5% 3.9±0.30 30±5 3.75±0.25 30±3 3.56±0.4 33±3 3.1±0.35 35±2
2.15% 5.1±0.11 40±3 5±0.19 41±6 4.9±0.31 42±5 4.9±0.19 38±5
28 nm 1.5% 4.2±0.13 35±4 4±0.11 38±3 3.8±0.21 36±2.5 3.5±0.20 28±2
2.15% 5.0±0.10 50±2.5 5.11±0.15 51±4 5.2±0.13 52±3.5 4.9±0.24 52±5
Due to incorporation of SbF6 content in poly-MAPDST resist structures, enhanced nano-mechanical properties
(modulus and adhesion) were observed.
Nano-mechanical properties measurements
K. E. Gonsalves et al., JVST B (under review).
Interestingly, even at lower feature sizes such as 20, 22 and 28, the modulus and adhesions values of the
2.15 % resist patterns are higher as compared to those of the 1.5 % resist. All these results confirm a
better performance of the 2.15% resist in terms of the nano-mechanical properties of its high resolution
patterns as compared to those of the 1.5% resist patterns.
44. Photodynamics : for MAPDSTA–MAPDST
Copolymer resist (Prof Weibel UFRGS)
An initial photodynamic study was carried out using SR as an excitation source as well
as high surface sensitive analytical tools (NEXAFS and XPS spectroscopy). The
investigation clearly showed a fast decomposition rate of the radiation sensitive
sulfonium triflate followed with important changes in the ester group. Sulfur L-NEXAFS
spectra of the 2.15 % MAPDSA-MAPDST copolymer resist thin films showed that
irradiation at 103.5 eV led to a general decrease in signals, except one signal at about
164.8 eV. This transition was assigned to a CH3-S- group bonded to the phenyl ring.
This result confirmed the polarity switching mechanism from hydrophilic sulfonium
triflates to hydrophobic aromatic sulfides due to EUV radiation especially on post
baking.
The detailed HR-XPS results on the energy regions of F 1s and O 1s indicated the
potential important role of the inorganic SbF6 moiety during irradiation. The results
obtained indicate that the inorganic SbF6 group may have an effect on the sensitivity
as observed from the exposure doses of the 2.15% MAPDSA-MAPDST (33mJ/cm2)
copolymer versus the pure MAPDST homopolymer (113 mJ/cm2). The inorganic SbF6
is hypothesized as contributing to the enhanced sensitivity due to the higher OD of
the Sb.
Further analysis is in progress to be reported shortly by the Weibel group UFRGS
Brazil.
45. 45
FIG: He-ion exposed 20 nm (L/4S) line patterns of 2.15%-
MAPDSA-MAPDST resist (100Xmagnification): a) At a
dose 110 C/cm2, b) At a dose 120 C/cm2.
FIG: AFM topography of 20 nm (L/4S) line features of
the 2.15%-MAPDSA-MAPDST resist at the dose 110
µC/cm2.
He-ion active Poly-MAPDSA-MAPDST hybrid resist-sub-20 nm patterning
(NTU Taiwan)
Gonsalves et al , AIP Advances
46. 46
(a) (b)
FIG: Cross sectional view of 20 nm (L/4S) features at a dose 110 µC/cm2 (Magnification: 300X), b)
Thickness measurements of 20 nm line features by tilting the line patterns at 45° angle (Magnification:
200 X, Dose: 110 µC/cm2).
He-ion active Poly-MAPDSA-MAPDST hybrid resist-sub-20 nm patterning
K. E. Gonsalves, AIP Advances
47. 47
Butyl tin-MAPDST co-polymer
Resolution of poly-MAPDST was increased by incorporation of hybrid inorganic tin monomer.
After e-beam exposure, the Sn-C bonds and sulfonium trilfates of the polymer undergoes photo
cleavage and leads to the structural conversion.
The designed resists are able to pattern 10 nm isolated lines under e-beam conditions at the dose
700 uC/cm2
MAPDST-Tin hybrid co-polymer resist for higher resolution e-beam lithography
a)
b)
c) Fig. FE-SEM image of Bu-Sn-MAPDST
polymer exposed a) 15nm L/10S patterns
b) 12nm isolated line c) 16nm Isolated
line
15 nm lines 12 nm lines
16 nm lines
48. 48Helium Ion (He+) Active Novel Hybrid n-CAR MAPDST-ADSM copolymer resist
for Sub-10 nm Technology Node
Fig. (a) Chemical structure of MAPDST-ADSM
copolymer resist
Fig. (c) & (d) He-ion exposed 10 nm line patterns on MAPDST-ADSM copolymer resist at the dose 50.6 pC/cm2
(a)
(C) (d)
Fig. (b) He+ studies for dose estimation on
developed hybrid MAPDST-ADSM copolymer resist
101.2 pC/cm2
Pitch =200 nm
Pitch =200 nm
Pitch =150 nm
Pitch =150 nm
50.6 pC/cm2
(b)
49. 49
Potential benefits for metal oxide cluster based resists
Ref: Chem. Soc. Rev. DOI: 10.1039/c7cs00080d
50. 50
Pirati et. al., Proc. SPIE., 2017, 10143, 101430G-4
Patterning mechanism follow by cross linking of metal oxide clusters and photoactive
ligands after exposure leads to the negative tone patterning.
51. 51
Fig: Process optimization on 16 nm hp dense line patterns of Inpria YA
Series resist using different post exposure bake (PEB) temperatures.
Ekinci et al., Proc. SPIE,10143,101430Q-2
Metal oxide cluster based resists
52. 52
Fig: Inpria YA-Series Resist exposed at PSI Interference Lithography and measured at ASML with CD-SEM
Ekinci et al., Proc. SPIE,10143,101430Q-2
Metal oxide cluster based resists
13,12, 11 and 10 nm line/space characteristics were well resolved using Inpria YA
series metal oxide resist under EUVL
53. 53
The high EUV harvesting metal oxide nano particles such as HfO2, In2O3, SnO2, Sb2O3, ZrO2,TiO2
and Ag2O etc., capped with photosensitive capping agents : photoactive sulfonium triflates.
During EUV exposure, highly sensitive aromatic sulfonium triflates undergo structural changes
from hydrophilic sulfonium triflates (polar) into hydrophobic aromatic sulfide entities (non-polar)
which leads to the nano patterning.
Nanoscale resists for EUVL (I) [Nano non-CARS]
54. 54
High EUV harvesting nanoparticles modified by introducing two different functional
capping agents: 1) Capping agents with photosensitive sulfonium triflates 2) capping
structures with acid labile functional groups
Nanoscale resists for EUVL (II) [Nano-CARs]
55. Current Photoresists Market Size to Meet Indian Requirements:
~ Rs. 1 Crore/year
Projected Photoresists Market Size to Meet Indian
Requirements: ~ Rs. 300 Crores(by 2020)/year
SCL BEL SITAR GATEK
R&D
in
Academic Institutions
Global photoresists market size
But, no indigenous
resists technology
exists particularly
for 180 or beyond
nodes.
It’s time to meet
National demand
by developing
indigenous resists.
National requirements: resists for 180 nm or higher nodes
56. Incorporation of photoacid generator (PAG) into resist backbone to control acid
diffusion, and thus to improve LER/LWR of developed patterns.
Innovative resist formulation with intrinsic photoacid generation capability
Proof of concept for DUV and E-beam resists developed @ IIT Mandi.
DUV Resists (few examples):
O OO
yx
O O
O
z
S
CF3SO3
O
O O OO
yx
O O
O
z
OO
O
O
O
S
CF3SO3
O
Adhesion
promoter
Blocking
functionality
Bound or external
PAG
Very recently, we have developed few
chemically amplified resists which are sensitive
to DUV photons as well as e-beam radiation.
Using these resists we have successfully
patterned 170 nm L/S patterns with low LER.
Schematic of chemical structure of
resists developed @ IIT Mandi
57. .
DUV and E-beam resists technology @ IIT Mandi
150 nm lines with 300 nm space (L/2S)
Dose:40μC
170 nm lines with 170 nm space (L/S)
Patterns generated by E-beam lithography
58. i-Line resists for Indian semiconductor industries
i-Line (365 nm) resists are generally a combination of Novalac resin and photoacid
compound (PAC). Novalac resins are prepared by the condensation of o-/m-/p-
cresols and formaldehyde, and the PACs are DNQ derivative.
59. Commercialization: Technology Transfer and Bulk Production
Resists Formulation
PAG bound
base resists
Solvent Amines as acid
scavanger
Dissolution
inhibitors
Anti reflective
coating agent
Surfactant
Resolution
(line and space)
Sensitivity
Depth of focus
(line and space)
Depth of focus
Dense/Isolated
Exposure
latitude
Line edge roughness
(LER)
Thermal stability
CD Stability
Post-exposure
delay stability
Etch stability
Shelf life
Performance determining parameters
60. Conclusion
Polymeric resists for 20 nm node or beyond technology with low LER/LWR
Molecular resists for 16 nm or beyond node
Enhancing sensitivity by incorporating inorganic materials with high EUV
absorption cross section
DUV and i-line resists for Indian semiconductor industries
Bulk scale production, formulation and commercialization of indigenous resists to
meet national requirements
61. 61Acknowledgments
Co-PIs IIT Mandi
Dr Subrata Ghosh (organic chem)
Dr. Pradeep Parameswaren (inorganic)
Dr. Satinder Sharma (electrical engg)
Postdoctoral Researchers
Dr. Jyoti Shankar Borah
Dr. Mingxing Wang
Dr. V. S. V. Satyanarayana
Dr. Vikram Singh
Dr. Viswanath Kalyani
Dr. Narshima Mamidi
Dr. Paritosh Dubey
Dr. Satyendra Prakash Pal
Prof. Daniel E. Weibel
Chemical Institutes, UFRGS,
Porto Alegre, Brazil
Prof. Nikola Batina
UAM Mexico
Prof Rubem Sommers
CBPF Rio de Janeiro
Prof. Tsai, NTU
Taiwan
Indian Nanoelectronics Users Program
(INUP), IIT Bombay for e-beam facility
LBNL Berkeley USA, and IMEC Belgium for
EUV exposures
Intel Corp USA /SRC
USA
DOW Corp USA
The Department of Science
and Technology (DST) &
Semiconductor Lab/ISRO
MHRD (UAY scheme), India
Funding agencies
Doctoral students
Mr. G. Reddy
Ms. Neha Thakur
Mr. Santu Nandi
Mr. M. Yogesh
Mr. Pravind Yadav
Ms. Reetu Yadav
Collaborators
Facilities
64. In 1971 Intel came with First processor “Intel 4004” with 2,300 transistors in 12mm2 area.
2016 Intel launched 22-core Xeon Broadwell-E5 with 7.2 Billion Transistors 456 mm2 area with 14nm technology
node.
August 30, 2016, Intel announced, 14 nm technology know as Kaby Lake and officially launched mobile chips in
January 2017.
Skylake was anticipated to be succeeded by 10 nm Cannon lake, but it has been delayed until the second half of
2017.
Icelake, is Intel's, 400 Series chipsets, 2nd 10 nm node CPU microarchitecture that is expected to replace Cannonlake
in 2019.
Evolution of Semiconductor Technology
Fig. Evolution of IC technology over years & expected futuristic technology projections
65. 65
Resist materials challenges for sub-7 nm EUV lithography
Pure organic resist limitations
Low etch resistance
Low Resolution
Poor EUV absorbance
Low thermal stability
Metal oxide nano particle resists can improve stability, etch resistance, sensitivity,
resolutions , LER with high EUV absorption cross section profiles
Examples: HfO2, TiO2, ZrO2, SnO2, Sb2O3 and In2O3 based resists
The present EUV resist material should posses following characteristics
Resolution = sub- 7 nm
Sensitivity = < 20 mJ/cm2
LER = < 1-2 nm
Low outgassing
High etch resistance
J. Vac. Sci. Technol., B 2007, 25, 2481–2485
66. 66
Metal oxide cluster based resists
SnOx containing non-CAR resists are reported for EUV resist platforms with high absorptivity
up to 20 μ-1 (~4x higher than CAR) with high resolution and high etch resistance
These platforms have a relatively simple material composition, SnOx clusters surrounded by
organic ligands, and different activation mechanism compared to CARs
Upon EUV exposure the SnOx clusters undergo crosslink and forms the resist pattern.
Ekinci et al., Proc. SPIE,10143,101430Q-2
Table. The image showing targets for resolution, LWR and dose for dense lines (DL) and for contact holes (CH)
over time
67. 67
SEM Images of MAPDST Homopolymer Resist EUV Exposed @ Berkeley
MET USA Lab
28
Mag. 30KX
Mag. 120KX
a
a
b
b
69. FinFET Basics
Benefits :-
• Control over the channel by
more than one side
• Higher effective Channel
Width
• Lower Leakage Current
• Allows smaller junction sizes
• Ease of Fabrication
FinFET
Si Substrate
Gat
e
Si Substrate
Gate
Si Substrate
Gate 1 Gate 2 Gate 3
Fig: 3D View of FinFET
Fig: Single Gate FinFET
Fig: Multi Gate FinFET
Image Source:- H -J L Gossmann, et al., IEEE Trans on Nanotechnology, 2003 (2), Gen Pei, et al., IEEE Trans on Electron Dev., 2002 (49)
70. ImmersionmodeArFLaserforNano-Lithography
Current 193nm DUV lithography
• Lenses are perfectly transparent for 193 nm and above.
• So usually used: a single “lens” may be up to 60 fused
silica surfaces.
• System maintained at atmospheric pressure.
• Exposure field 26x32 mm2.
• Steppers capable of exposing 109 steps per 300 mm
wafer & produce >100 wafers per hour.
• Exposure times 10-20 ns (one pulse of excimer laser).
75. Critical Dimension depends upon & Numerical
(NA) aperture-
To sustain with state of the art technology iArF
evolved with High NA with introducing more than 1
reflective index (1.67) and aperture size μ (1.2215).
ArFImmersion lithography
Immersion mode lithography was established at
1984 and continuously serving the semiconductor
industry with cost effective production (parallel
Processing).
193nm Deep UV light is used for exposure which
is compatible for higher (DUV 254nm) optics.
Materials like Silicon, Glass and CaF has very less
absorption of 193nm, which provide low absorption
energy () and output power.
In term of development the wavelength shrinking
is not possible, available 157nm F2 excimer need all
new optics and fabrication method .
1984 2015
Development in immersion mode technology
with refractive index of immersion media
Resolution Enhancement Technologies:
76. Recenttrendin optical projection lithography
Currently state of the art single print
lithography has been reached to its physical
limit of ~40nm for immersion mode 193nm
tools.
For k1≥0.3, NA=1.35, and λ=193nm the
immersion mode single print was limited to
22nm node CMOS technology.
New ideas has been adapted to fill in the gap
between Immersion lithography and EUVL-
New immersion fluids and solids to
increase the NA.
Double patterning with extended CD.
Polarised laser ablation through TE and TM
mode projection .
Gridded Design Rules-
-Optical Proximity Correction OCP “lines &
cuts” up to 11nm and 7nm node
-Phase Shifting (increases contrast)
Reference: Mebarki, Bencherki, et al. Proc. SPIE. Vol. 7640. 2010. Intel annual report 2017, 11nm Logic Lithography with OPC-Lite , M. C. Chiu
Direct Write E-beam
NA>1.35
EUV
Solid
Immersion
193nm
DPT
Multi-beam
E-beam
X-ray
1X Scanner
Broadband
Ion Beam
5x,10x S&R
Step and
Scan
Optical
Maskless
Contact/Proximity printing
Optical Projection
1X Scanner
DUV
Immersion
Imprint
Polarization
Imaging
NA0.25
Direct Write E-beam
NA>1.35
EUV
Solid
Immersion
193nm
DPT
Multi-beam
E-beam
X-ray
1X Scanner
Broadband
Ion Beam
5x,10x S&R
Step and
Scan
Optical
Maskless
Contact/Proximity printing
Optical Projection
1X Scanner
DUV
Immersion
Imprint
Polarization
Imaging
NA0.25
SADP, SAQP Steppers
77. Intel has adopted SelfAssembledDouble Patterningfor10nm node technology
Reference: Mebarki, Bencherki, et al. Proc. SPIE. Vol. 7640. 2010.
NA(193nm, 22oC )
28nm node Double Patterning with 193nm iArF 32nm L/S patterns at 1.67 NA solution Illumination mode “line &
cut” approximation for 7nm
logic
78. 28nm node Double Patterning with 193nm iArF
Reference - Mebarki, Bencherki, et al. Proc. SPIE. Vol. 7640. 2010.