SlideShare uma empresa Scribd logo
1 de 65
Low Power Techniques ,[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],Increasing Challenges of Power High power consumption  higher temperature  heat sinks, ceramic packaging (expensive) ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],Require power awareness in every stage of design cycle -capture RTL based on power requirement -Libraries with power models -Special cells -power aware logic synthesis -power aware physical synthesis -Achieve best power, timing and QoR -Voltage becomes functional -coverage metrics for low power methods -verification for different power modes Power architecture Power aware design Power aware implementation Power aware verification Is it possible to have single specification of power intent???   Q
Power Has Broken the Rules of Scaling Cadence Design Systems Inc. estimates that 90-nm standard transistors are about 40 times leakier than the standard-voltage 130-nm transistors
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Types of Power Consumption
Dynamic Power 0 to 1 on the output   charges the capacitive load of the PMOS 1 to 0 on the output   discharges the capacitive load through the NMOS Instantaneous rise time one transistor is ON at a time
Dynamic Power Contd.... Pavg=Cload.Vdd2.Fclk  ,[object Object],[object Object],[object Object],[object Object],average power is independent of transistor size and characteristics
[object Object],[object Object],Internal power How to reduce dynamic power? Reduce Vdd Reduce Cload Reduce Fclk Pavg  α   Cload . Vdd2 . Fclk
[object Object],[object Object],[object Object],[object Object],Intermediate voltage VTn < Vin < Vdd - |VTp|   Short Circuit Power
To get  equal rise/fall      balance transistor  sizing Pavg(short circuit) = 1/12.k.τ.Fclk.(Vdd-2Vt)3  Short Circuit Power-Analysis If Vdd<Vthn+|Vthp| can we eliminate short circuit current????? Q Condition PMOS NMOS Vin < Vth ON (sat) OFF (cutoff) Vin = Vth Linear (towards cutoff) Linear (towards sat) Vin > Vth OFF  (cutoff) ON (sat)
[object Object],[object Object],[object Object],[object Object],Leakage Power
- does not depend on input transition, load capacitance   -remains  constant   Leakage Power Contd....
Ireverse=A.Js.(e(q.Vbias/kT)-1) where, Vbias --> reverse bias voltage across the junction Js --> reverse saturartion current density A --> junction area  How to reduce? Decrease  in  junction area     depends material   Parasitic diodes   formed between the   diffusion region  of the transistor and  substrate   Reverse Biased Diode Current (Junction Leakage)-I1 Can we adjust  Vbias  to control junction leakage?   Q
Reverse Biased Diode Current (Junction Leakage)-I1 Contd…
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Sub threshold Current – I2 (Isub)
How to reduce sub threshold leakage? ,[object Object],[object Object],[object Object],[object Object],Isub exponentially scales with Vth   vary Vth Does this equation valid below 90nm????   Q
[object Object],[object Object],[object Object],[object Object],[object Object],When  Vgs <= 0V ;  Vd = Vdd  avalanche multiplication  and  band-to-band tunneling  Minority carriers underneath the gate are swept to the substrate   Gate Induced Drain Leakage (GIDL) - I3
How to reduce gate leakage? ,[object Object],[object Object],[object Object],Gate Oxide Tunnelling - I4 Improve fab chemistry Reached fundamental limit of gate oxide thickness???? Q
Leakage Power Trends ,[object Object],[object Object],[object Object],[object Object],Scaling: Boon or Curse???   Should be done for Voltage and Threshold voltage to gain the performance
Technology shrinking vs Leakage components 45 nm and below==>increased electric field==>increased gate leakage To counteract this voltage is  scaled down to around 1V Other leakages are low due to improvements in the fabrication process and material.
Low Power Design Techniques Dynamic Power Leakage Power Design Architectural Process Technology Clock gating Multi Vt Multi Vt Pipelining Multi Vt Variable frequency Power gating Clock gating Asynchronous PD SOI Variable power supply Back (substrate) bias Power gating   FD SOI Multi Vdd Use new devices-FinFet, SOI Multi Vdd   FinFet Voltage islands   DVFS   Body Bias DVFS       Multi oxide devices         Minimize capacitance by custom design
Low Power Design Techniques Advanced techniques Basic techniques
Evolution of low power techniques Source: SNUG 2007
[object Object],[object Object],[object Object],[object Object],[object Object],Supply Voltage Reduction - Voltage Scaling
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Clock Gating
[object Object],[object Object],[object Object],Latch free clock gating
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Latch based clock gating
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Multi Threshold (MVT) technique
Different multi vt flows One (single) pass flow Two  pass flow Compile with a set of libraries -Compile with a set of libraries -Incremental compilation with another set of libraries -Rvt-Lvt -Rvt-Hvt -Multi Vt -Lvt -Hvt -Lvt to Mvt -Hvt to Mvt -Rvt to Multi Vt
Low Vt to Multi-Vt -Least cell count -Good for tight timing constraint -Highest leakage power -Less opportunity for leakage optimization
High Vt to Multi-Vt -Least leakage power -Good for leakage critical design -Higher cell count With different timing constraints it works as well balanced flow   High Vt library Low Vt library
Compile With Multi-Vt Libraries-Multi Vt One Pass Flow Overall good result Can be used for most of the designs
[object Object],[object Object],[object Object],Multi Vt Spacing requirement Between Hvt Cells-place only Hvt filler cell Between Lvt Cells-place only Lvt filler cell Placing opposite Vt filler cell can create gap in implant regions    violation of DRC IC Compiler handles the issue automatically
Multi Vdd (Voltage) ,[object Object],[object Object],[object Object]
[object Object],Static Voltage Scaling (SVS) Multiple Supply Multi-Voltage (MV) Islands -  Voltage areas with fixed, single voltages
[object Object],[object Object],Dynamic Voltage and Frequency Scaling (DVFS) When  high speed  of operation is  required voltage is increased  to attain higher speed of operation with the penalty of increased power consumption  Voltage  as well as  frequency  is  dynamically varied  as per the  different working modes  of the design
[object Object],[object Object],[object Object],[object Object],Adaptive voltage Scaling (AVS)
[object Object],[object Object],Multi Voltage Design Challenges: Level Shifters 1 V 1.2 V
[object Object],Library description of level shifter ,[object Object],[object Object],[object Object],[object Object],[object Object]
Floor planning and Power Planning Burning issue Local on chip voltage regulation or external separate supply??? Every power domain requires independent local power supply and grid structure ,[object Object],[object Object],Separate rows for standard cells and special cells
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Multi Voltage Designs: Timing Issues TOP Block1 Block2
Multiple Threshold CMOS (MTCMOS) Circuits ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Variable Threshold CMOS (VTCMOS)-Substrate biasing variable substrate bias voltage from a control circuitry to vary threshold voltage General design: substrate is tied to power or ground ,[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Power-gating
Header –Footer Switches A power switch ( header or footer ) is added to supply rails to shut-down logic (MTCMOS switches)
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Power-gating parameters
[object Object],[object Object],[object Object],[object Object],[object Object],Fine-grain power gating
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Coarse-grain power gating
[object Object],[object Object],[object Object],[object Object],Coarse-grain power gating-Column or Ring based
[object Object],[object Object],[object Object],[object Object],[object Object],Isolation Cells
[object Object],[object Object],Enable level shifter ,[object Object],1 V 1.2 V
[object Object],[object Object],[object Object],[object Object],[object Object],Retention Registers
Some logic needs to stay active during shut-down   􀂃  Internal enable pins (ISO/ELS) 􀂃  Power switches 􀂃  Retention registers 􀂃  User-specific cells Always on logic
Low-Power Infrastructure Low-power design requires new cells with multiple power pins Additional modeling information in “.lib” is required to automatically handle these cells
[object Object],[object Object],Layout Constraints
Library syntax of special cells
[object Object],Input vector control (IVC) ,[object Object]
Improvement in Process technology For 90nm and 65nm dielectric = 5 molecular layers thick ~ 1nm 25x reduction in gate leakage 5x reduction in sub threshold leakage
[object Object],2003 2009 Improvement in Process technology (Contd...)
Tradeoffs
Tradeoffs Contd...
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Future low power strategy????
Carbon Nano tubes ??? Channel is a coil of carbon hexagons Mobility up to 70x silicon
Spintronics ??? ,[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],References

Mais conteúdo relacionado

Mais procurados

Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and VerificationDVClub
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notesDr.YNM
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalJITENDER -
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 

Mais procurados (20)

EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
Physical design
Physical design Physical design
Physical design
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
 
Clock Gating
Clock GatingClock Gating
Clock Gating
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 

Destaque

Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodologyAakash Patel
 
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationFD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationRick Tewell
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Power Analysis for Beginners
Power Analysis for BeginnersPower Analysis for Beginners
Power Analysis for Beginnersgfb1
 
Qualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceQualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceJJ Wu
 
Introduction to Power Analysis
Introduction to Power AnalysisIntroduction to Power Analysis
Introduction to Power AnalysisDaria Bondareva
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelDVClub
 
Full custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoderFull custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encodersrikanth kalemla
 
Low-power Innovative techniques for Wearable Computing
Low-power Innovative techniques for Wearable ComputingLow-power Innovative techniques for Wearable Computing
Low-power Innovative techniques for Wearable ComputingOmar Elshal
 
Power Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationPower Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationAjay Dhamija
 
Implementation of 1 bit full adder using gate diffusion input (gdi) technique
Implementation of 1 bit full adder using gate diffusion input (gdi) techniqueImplementation of 1 bit full adder using gate diffusion input (gdi) technique
Implementation of 1 bit full adder using gate diffusion input (gdi) techniqueGrace Abraham
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor LogicDiwaker Pant
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsAnsys
 
Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgARUNASUJITHA
 

Destaque (19)

Low Power System on chip based design methodology
Low Power System on chip based design methodologyLow Power System on chip based design methodology
Low Power System on chip based design methodology
 
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin PresentationFD-SOI Harnessing the Power - DAC 2016 Austin Presentation
FD-SOI Harnessing the Power - DAC 2016 Austin Presentation
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Power Analysis for Beginners
Power Analysis for BeginnersPower Analysis for Beginners
Power Analysis for Beginners
 
SOC Design Challenges and Practices
SOC Design Challenges and PracticesSOC Design Challenges and Practices
SOC Design Challenges and Practices
 
Qualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile DeviceQualcomm SnapDragon 800 Mobile Device
Qualcomm SnapDragon 800 Mobile Device
 
Introduction to Power Analysis
Introduction to Power AnalysisIntroduction to Power Analysis
Introduction to Power Analysis
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
 
Full custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoderFull custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoder
 
Low-power Innovative techniques for Wearable Computing
Low-power Innovative techniques for Wearable ComputingLow-power Innovative techniques for Wearable Computing
Low-power Innovative techniques for Wearable Computing
 
Power Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationPower Analysis and Sample Size Determination
Power Analysis and Sample Size Determination
 
Implementation of 1 bit full adder using gate diffusion input (gdi) technique
Implementation of 1 bit full adder using gate diffusion input (gdi) techniqueImplementation of 1 bit full adder using gate diffusion input (gdi) technique
Implementation of 1 bit full adder using gate diffusion input (gdi) technique
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
 
Employment check
Employment checkEmployment check
Employment check
 
FinFET design
FinFET design FinFET design
FinFET design
 
Finfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clgFinfet; My 3rd PPT in clg
Finfet; My 3rd PPT in clg
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Biochips
BiochipsBiochips
Biochips
 

Semelhante a Low Power Design Techniques for ASIC / SOC Design

Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...IJSRD
 
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC ApplicationsA Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applicationsbaba fayaz
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdfManiBharathNuti1
 
LM315x Synchronous Simple Switcher® Controller Series
LM315x Synchronous Simple Switcher® Controller SeriesLM315x Synchronous Simple Switcher® Controller Series
LM315x Synchronous Simple Switcher® Controller SeriesPremier Farnell
 
Power consumption
Power consumptionPower consumption
Power consumptionsdpable
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1Javed G S, PhD
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
 
H2PToday1201_design_IR
H2PToday1201_design_IRH2PToday1201_design_IR
H2PToday1201_design_IRParviz Parto
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iASaurabh Dighe
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power ManagementPatrick Bellasi
 
December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42Devyani Balyan
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET Journal
 
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOM
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOMLOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOM
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOMASWATHYSANAND1
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.pptECEHoD16
 

Semelhante a Low Power Design Techniques for ASIC / SOC Design (20)

Jh2416211626
Jh2416211626Jh2416211626
Jh2416211626
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC ApplicationsA Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
A Multi VDD Wide Voltage Range Up Level Shifter For Smart SoC Applications
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
LM315x Synchronous Simple Switcher® Controller Series
LM315x Synchronous Simple Switcher® Controller SeriesLM315x Synchronous Simple Switcher® Controller Series
LM315x Synchronous Simple Switcher® Controller Series
 
Power
PowerPower
Power
 
Power consumption
Power consumptionPower consumption
Power consumption
 
IC_Lectures_Updated.pdf
IC_Lectures_Updated.pdfIC_Lectures_Updated.pdf
IC_Lectures_Updated.pdf
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
H2PToday1201_design_IR
H2PToday1201_design_IRH2PToday1201_design_IR
H2PToday1201_design_IR
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power Management
 
December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42December 2015 Online Magazine 39-42
December 2015 Online Magazine 39-42
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
 
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOM
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOMLOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOM
LOW CAPACITANCE CASCADED H BRIDGE MULTILEVEL BASED STATCOM
 
3-Anandi.ppt
3-Anandi.ppt3-Anandi.ppt
3-Anandi.ppt
 

Low Power Design Techniques for ASIC / SOC Design

  • 1.
  • 2.
  • 3.
  • 4. Power Has Broken the Rules of Scaling Cadence Design Systems Inc. estimates that 90-nm standard transistors are about 40 times leakier than the standard-voltage 130-nm transistors
  • 5.
  • 6. Dynamic Power 0 to 1 on the output  charges the capacitive load of the PMOS 1 to 0 on the output  discharges the capacitive load through the NMOS Instantaneous rise time one transistor is ON at a time
  • 7.
  • 8.
  • 9.
  • 10. To get equal rise/fall  balance transistor sizing Pavg(short circuit) = 1/12.k.τ.Fclk.(Vdd-2Vt)3 Short Circuit Power-Analysis If Vdd<Vthn+|Vthp| can we eliminate short circuit current????? Q Condition PMOS NMOS Vin < Vth ON (sat) OFF (cutoff) Vin = Vth Linear (towards cutoff) Linear (towards sat) Vin > Vth OFF (cutoff) ON (sat)
  • 11.
  • 12. - does not depend on input transition, load capacitance -remains constant Leakage Power Contd....
  • 13. Ireverse=A.Js.(e(q.Vbias/kT)-1) where, Vbias --> reverse bias voltage across the junction Js --> reverse saturartion current density A --> junction area How to reduce? Decrease in junction area  depends material Parasitic diodes formed between the diffusion region of the transistor and substrate Reverse Biased Diode Current (Junction Leakage)-I1 Can we adjust Vbias to control junction leakage? Q
  • 14. Reverse Biased Diode Current (Junction Leakage)-I1 Contd…
  • 15.
  • 16.
  • 17.
  • 18.
  • 19.
  • 20. Technology shrinking vs Leakage components 45 nm and below==>increased electric field==>increased gate leakage To counteract this voltage is scaled down to around 1V Other leakages are low due to improvements in the fabrication process and material.
  • 21. Low Power Design Techniques Dynamic Power Leakage Power Design Architectural Process Technology Clock gating Multi Vt Multi Vt Pipelining Multi Vt Variable frequency Power gating Clock gating Asynchronous PD SOI Variable power supply Back (substrate) bias Power gating   FD SOI Multi Vdd Use new devices-FinFet, SOI Multi Vdd   FinFet Voltage islands   DVFS   Body Bias DVFS       Multi oxide devices         Minimize capacitance by custom design
  • 22. Low Power Design Techniques Advanced techniques Basic techniques
  • 23. Evolution of low power techniques Source: SNUG 2007
  • 24.
  • 25.
  • 26.
  • 27.
  • 28.
  • 29. Different multi vt flows One (single) pass flow Two pass flow Compile with a set of libraries -Compile with a set of libraries -Incremental compilation with another set of libraries -Rvt-Lvt -Rvt-Hvt -Multi Vt -Lvt -Hvt -Lvt to Mvt -Hvt to Mvt -Rvt to Multi Vt
  • 30. Low Vt to Multi-Vt -Least cell count -Good for tight timing constraint -Highest leakage power -Less opportunity for leakage optimization
  • 31. High Vt to Multi-Vt -Least leakage power -Good for leakage critical design -Higher cell count With different timing constraints it works as well balanced flow High Vt library Low Vt library
  • 32. Compile With Multi-Vt Libraries-Multi Vt One Pass Flow Overall good result Can be used for most of the designs
  • 33.
  • 34.
  • 35.
  • 36.
  • 37.
  • 38.
  • 39.
  • 40.
  • 41.
  • 42.
  • 43.
  • 44.
  • 45. Header –Footer Switches A power switch ( header or footer ) is added to supply rails to shut-down logic (MTCMOS switches)
  • 46.
  • 47.
  • 48.
  • 49.
  • 50.
  • 51.
  • 52.
  • 53. Some logic needs to stay active during shut-down 􀂃 Internal enable pins (ISO/ELS) 􀂃 Power switches 􀂃 Retention registers 􀂃 User-specific cells Always on logic
  • 54. Low-Power Infrastructure Low-power design requires new cells with multiple power pins Additional modeling information in “.lib” is required to automatically handle these cells
  • 55.
  • 56. Library syntax of special cells
  • 57.
  • 58. Improvement in Process technology For 90nm and 65nm dielectric = 5 molecular layers thick ~ 1nm 25x reduction in gate leakage 5x reduction in sub threshold leakage
  • 59.
  • 62.
  • 63. Carbon Nano tubes ??? Channel is a coil of carbon hexagons Mobility up to 70x silicon
  • 64.
  • 65.