SlideShare uma empresa Scribd logo
1 de 52
Ryan Gillanders Curtis Goodman Will Kim Wade Tywoniuk Jeff Wilson
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Participants in the VALUE CHAIN
PRODUCTION & LOCATION:  TAKE ADVANTAGE OF LAs VALUE CHAIN DESIGN FABRICATION ASSEMBLY CHEAP LABOUR SCIENTIFIC PERSONNEL LAs SHIFT
[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Design – Wafer Fabrication – Assembly & Testing - Consumption
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object]
40% 8% 40% 20% Approximate Ownership of Production
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
 
 
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
 
UNBUNDLING THE VALUE CHAIN DESIGN FABRICATION ASSEMBLY FABLESS (US) SPECIALIZED PRODUCERS FOUNDRIES (EAST ASIA) VERTICALLY INTEGRATED CAPTIVE PRODUCERS ( IN-HOUSE USE ) CAPTIVE-MERCHANT (HYBRID) MERCHANT PRODUCER  (SALE)
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
 
 
 
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Agenda
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]

Mais conteúdo relacionado

Mais procurados

Indian Semiconductors Industry Presentation 060109
Indian Semiconductors Industry Presentation 060109Indian Semiconductors Industry Presentation 060109
Indian Semiconductors Industry Presentation 060109Workosaur.com
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Yole Developpement
 
【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】Collaborator
 
Haier OCD Presentation
Haier OCD PresentationHaier OCD Presentation
Haier OCD Presentationamitkejriwal11
 
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...Hiba shaikh & Varda shaikh
 
Huwaei Case Study - Goals and Strategies
Huwaei Case Study - Goals and Strategies Huwaei Case Study - Goals and Strategies
Huwaei Case Study - Goals and Strategies Ahmed Ehab
 
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberLecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberStanford University
 
Apple case study q&a
Apple case study q&aApple case study q&a
Apple case study q&aUtsav Mone
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...Yole Developpement
 
PESTAL Analysis of LG Electronics
 PESTAL Analysis of LG Electronics PESTAL Analysis of LG Electronics
PESTAL Analysis of LG ElectronicsDheerajRajpurohit2
 
SWOT & PESTLE analysis on Haier company Imsciences peshawar student (MPA 2018)
SWOT & PESTLE analysis on  Haier company Imsciences peshawar student (MPA 2018) SWOT & PESTLE analysis on  Haier company Imsciences peshawar student (MPA 2018)
SWOT & PESTLE analysis on Haier company Imsciences peshawar student (MPA 2018) Ahmed Baigal
 
IBM Corporation Turnaround Case study
IBM Corporation Turnaround Case study IBM Corporation Turnaround Case study
IBM Corporation Turnaround Case study Saloni Sanghani
 
success history of HUAWEI
success history of HUAWEI success history of HUAWEI
success history of HUAWEI JustFun7
 
Design thinking - Apple
Design thinking - AppleDesign thinking - Apple
Design thinking - AppleShivangiGohri
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...Yole Developpement
 

Mais procurados (20)

Indian Semiconductors Industry Presentation 060109
Indian Semiconductors Industry Presentation 060109Indian Semiconductors Industry Presentation 060109
Indian Semiconductors Industry Presentation 060109
 
Dell Direct Case Study
Dell Direct Case StudyDell Direct Case Study
Dell Direct Case Study
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
Bonding and Lithography Equipment Market for More than Moore Devices by Yole ...
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】【Junior 新趨勢_自動駕駛】
【Junior 新趨勢_自動駕駛】
 
Haier OCD Presentation
Haier OCD PresentationHaier OCD Presentation
Haier OCD Presentation
 
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...
The Rise and Fall of Nokia By by Juan Alcacer, Tarun Khanna and Christine Sni...
 
Huwaei Case Study - Goals and Strategies
Huwaei Case Study - Goals and Strategies Huwaei Case Study - Goals and Strategies
Huwaei Case Study - Goals and Strategies
 
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - CyberLecture 8 - Technology, Innovation and Great Power Competition - Cyber
Lecture 8 - Technology, Innovation and Great Power Competition - Cyber
 
Apple case study q&a
Apple case study q&aApple case study q&a
Apple case study q&a
 
System-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - SampleSystem-in-Package Technology and Market Trends 2021 - Sample
System-in-Package Technology and Market Trends 2021 - Sample
 
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...
5G’s Impact on RF Front-End Module and Connectivity for Cell phones 2019 by Y...
 
PESTAL Analysis of LG Electronics
 PESTAL Analysis of LG Electronics PESTAL Analysis of LG Electronics
PESTAL Analysis of LG Electronics
 
SWOT & PESTLE analysis on Haier company Imsciences peshawar student (MPA 2018)
SWOT & PESTLE analysis on  Haier company Imsciences peshawar student (MPA 2018) SWOT & PESTLE analysis on  Haier company Imsciences peshawar student (MPA 2018)
SWOT & PESTLE analysis on Haier company Imsciences peshawar student (MPA 2018)
 
IBM Corporation Turnaround Case study
IBM Corporation Turnaround Case study IBM Corporation Turnaround Case study
IBM Corporation Turnaround Case study
 
success history of HUAWEI
success history of HUAWEI success history of HUAWEI
success history of HUAWEI
 
Design thinking - Apple
Design thinking - AppleDesign thinking - Apple
Design thinking - Apple
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
Sony
SonySony
Sony
 

Destaque

It all starts here
It all starts hereIt all starts here
It all starts hereSIAAmerica
 
Ecosystem Environment for Starting a Semiconductor Company
Ecosystem Environment for Starting a Semiconductor CompanyEcosystem Environment for Starting a Semiconductor Company
Ecosystem Environment for Starting a Semiconductor CompanySteve Szirom
 
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...Edureka!
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Ira Feldman
 
Singapore semiconductor industry association sme growth
Singapore semiconductor industry association   sme growthSingapore semiconductor industry association   sme growth
Singapore semiconductor industry association sme growthGet2Volume
 
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7ON Semiconductor
 
Evolving landscape of technology deals: Semiconductor Industry
Evolving landscape of technology deals: Semiconductor Industry Evolving landscape of technology deals: Semiconductor Industry
Evolving landscape of technology deals: Semiconductor Industry PwC
 
Liquid level sensor Eurosens Dizzi
Liquid level sensor Eurosens DizziLiquid level sensor Eurosens Dizzi
Liquid level sensor Eurosens DizziPawel Elenski
 

Destaque (10)

It all starts here
It all starts hereIt all starts here
It all starts here
 
Ecosystem Environment for Starting a Semiconductor Company
Ecosystem Environment for Starting a Semiconductor CompanyEcosystem Environment for Starting a Semiconductor Company
Ecosystem Environment for Starting a Semiconductor Company
 
Ecosystem Building for IC Industry
Ecosystem Building for IC IndustryEcosystem Building for IC Industry
Ecosystem Building for IC Industry
 
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...
THE VLSI INDUSTRY - An Overview of Market, Job Functions And Product Developm...
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020
 
Singapore semiconductor industry association sme growth
Singapore semiconductor industry association   sme growthSingapore semiconductor industry association   sme growth
Singapore semiconductor industry association sme growth
 
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7
LED Lighting Market Trends & ON Semiconductor LED Solutions Overview - 1 of 7
 
Evolving landscape of technology deals: Semiconductor Industry
Evolving landscape of technology deals: Semiconductor Industry Evolving landscape of technology deals: Semiconductor Industry
Evolving landscape of technology deals: Semiconductor Industry
 
Liquid level sensor Eurosens Dizzi
Liquid level sensor Eurosens DizziLiquid level sensor Eurosens Dizzi
Liquid level sensor Eurosens Dizzi
 
Software testing ppt
Software testing pptSoftware testing ppt
Software testing ppt
 

Semelhante a Chips With Everything

15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growthDinesh Gobinath
 
15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growthKashi Chanaveer
 
Sti priority setting
Sti priority settingSti priority setting
Sti priority settingPattie Pattie
 
Porters diamond-1215441271533531-8
Porters diamond-1215441271533531-8Porters diamond-1215441271533531-8
Porters diamond-1215441271533531-8hellokinjal27
 
Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2xiaolong zheng
 
Intellectual Property in Sri Lanka
Intellectual Property in Sri LankaIntellectual Property in Sri Lanka
Intellectual Property in Sri LankaSLINTEC
 
Patenting 091117034825 Phpapp02
Patenting 091117034825 Phpapp02Patenting 091117034825 Phpapp02
Patenting 091117034825 Phpapp02guestb3dd8ba
 
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORA
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORAKomatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORA
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORAMavH4
 
Changing Face V4
Changing Face V4Changing Face V4
Changing Face V4Saine
 
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docx
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docxACRJThis case was prepared byDr Bin Jiang and WilliamW.docx
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docxnettletondevon
 
Porters Final Presentation
Porters Final PresentationPorters Final Presentation
Porters Final PresentationCanada
 
Strategy for Smart Convergence
Strategy for Smart ConvergenceStrategy for Smart Convergence
Strategy for Smart ConvergenceGaku Moriya
 
Emerging markets and competitiveness
Emerging markets and competitivenessEmerging markets and competitiveness
Emerging markets and competitivenessGreenwich Council
 
Lesson 4 How And Why Is Manufacturing In Different Countries Changing
Lesson 4 How And Why Is Manufacturing In Different Countries ChangingLesson 4 How And Why Is Manufacturing In Different Countries Changing
Lesson 4 How And Why Is Manufacturing In Different Countries Changingtudorgeog
 

Semelhante a Chips With Everything (20)

Samsung Growth Pattern
Samsung Growth PatternSamsung Growth Pattern
Samsung Growth Pattern
 
INTEL
INTELINTEL
INTEL
 
15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth
 
15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth15 group 3-samsung_and_korean_economic_growth
15 group 3-samsung_and_korean_economic_growth
 
Sti priority setting
Sti priority settingSti priority setting
Sti priority setting
 
Porters diamond-1215441271533531-8
Porters diamond-1215441271533531-8Porters diamond-1215441271533531-8
Porters diamond-1215441271533531-8
 
Porters Diamond
Porters DiamondPorters Diamond
Porters Diamond
 
Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2Semiconductor industry in china20151126R1.2
Semiconductor industry in china20151126R1.2
 
Intellectual Property in Sri Lanka
Intellectual Property in Sri LankaIntellectual Property in Sri Lanka
Intellectual Property in Sri Lanka
 
Patenting 091117034825 Phpapp02
Patenting 091117034825 Phpapp02Patenting 091117034825 Phpapp02
Patenting 091117034825 Phpapp02
 
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORA
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORAKomatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORA
Komatsu vs CAT STRATEGY PPT at IIM L HIMANSHU ARORA
 
Changing Face V4
Changing Face V4Changing Face V4
Changing Face V4
 
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docx
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docxACRJThis case was prepared byDr Bin Jiang and WilliamW.docx
ACRJThis case was prepared byDr Bin Jiang and WilliamW.docx
 
O0122297103
O0122297103O0122297103
O0122297103
 
Advanced Manufacturing: Today, Tomorrow, and Beyond
Advanced Manufacturing: Today, Tomorrow, and BeyondAdvanced Manufacturing: Today, Tomorrow, and Beyond
Advanced Manufacturing: Today, Tomorrow, and Beyond
 
Porters Final Presentation
Porters Final PresentationPorters Final Presentation
Porters Final Presentation
 
Strategy for Smart Convergence
Strategy for Smart ConvergenceStrategy for Smart Convergence
Strategy for Smart Convergence
 
Emerging markets and competitiveness
Emerging markets and competitivenessEmerging markets and competitiveness
Emerging markets and competitiveness
 
Lesson 4 How And Why Is Manufacturing In Different Countries Changing
Lesson 4 How And Why Is Manufacturing In Different Countries ChangingLesson 4 How And Why Is Manufacturing In Different Countries Changing
Lesson 4 How And Why Is Manufacturing In Different Countries Changing
 
Backlight unit market
Backlight unit marketBacklight unit market
Backlight unit market
 

Último

Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxRemote DBA Services
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Victor Rentea
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...apidays
 
WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProduct Anonymous
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamUiPathCommunity
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityWSO2
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWERMadyBayot
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobeapidays
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Bhuvaneswari Subramani
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Jeffrey Haguewood
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...apidays
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsNanddeep Nachan
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontologyjohnbeverley2021
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...Zilliz
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...apidays
 

Último (20)

Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptx
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering Developers
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..Understanding the FAA Part 107 License ..
Understanding the FAA Part 107 License ..
 
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital Adaptability
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 

Chips With Everything

  • 1. Ryan Gillanders Curtis Goodman Will Kim Wade Tywoniuk Jeff Wilson
  • 2.
  • 3.
  • 4.
  • 5.
  • 6. Participants in the VALUE CHAIN
  • 7. PRODUCTION & LOCATION: TAKE ADVANTAGE OF LAs VALUE CHAIN DESIGN FABRICATION ASSEMBLY CHEAP LABOUR SCIENTIFIC PERSONNEL LAs SHIFT
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14. 40% 8% 40% 20% Approximate Ownership of Production
  • 15.
  • 16.
  • 17.
  • 18.  
  • 19.  
  • 20.
  • 21.
  • 22.  
  • 23. UNBUNDLING THE VALUE CHAIN DESIGN FABRICATION ASSEMBLY FABLESS (US) SPECIALIZED PRODUCERS FOUNDRIES (EAST ASIA) VERTICALLY INTEGRATED CAPTIVE PRODUCERS ( IN-HOUSE USE ) CAPTIVE-MERCHANT (HYBRID) MERCHANT PRODUCER (SALE)
  • 24.
  • 25.
  • 26.
  • 27.
  • 28.
  • 29.
  • 30.
  • 31.
  • 32.
  • 33.
  • 34.
  • 35.
  • 36.
  • 37.
  • 38.
  • 39.
  • 40.
  • 41.  
  • 42.  
  • 43.  
  • 44.
  • 45.
  • 46.
  • 47.
  • 48.
  • 49.
  • 50.
  • 51.
  • 52.

Notas do Editor

  1. In 1947, John Bardeen and Walter Brattain, working at Bell Telephone Laboratories, were trying to understand the nature of the electrons at the interface between a metal and a semiconductor three terminal character of the transistor is what allows us to make an amplifier for electrical signals, like the one in our radio. With the three-terminal transistor we can also make an electric switch, which can be controlled by another electrical switch. By cascading these switches (switches that control switches that control switches, etc.) we can build up very complicated logic circuits. These logic circuits can be built very compact on a silicon chip with 1,000,000 transistors per square centimeter. We can turn them on and off very rapidly by switching every 0.000000001 seconds. Such logic chips are at the heart of your personal computer and many other gadgets you use today. In the late 1940‘s, big computers were built with over 10,000 vacuum tubes and occupied over 93 square meters of space. In 1958 and 1959, Jack Kilby at Texas Instruments and Robert Noyce at Fairchild Camera, came up with a solution to the problem of large numbers of components, and the integrated circuit was developed. Instead of making transistors one-by-one, several transistors could be made at the same time, on the same piece of semiconductor. Not only transistors, but other electric components such as resistors, capacitors and diodes could be made by the same process with the same materials. .
  2. For more than 30 years, since the 1960's, the number of transistors per unit area has been doubling every 1.5 years. This fantastic progression of circuit fabrication is known as Moore's law, after Gordon Moore, one of the early integrated circuit pioneers and founders of Intel Corporation mostly the pure elements silicon (Si) and sometimes germanium (Ge) are being used Silicon is a grey colored element with crystalline structure. It is the second most abundant element in the earth's crust, after oxygen. Silicon is always found in combined form in nature, often with oxygen as quartz, and is found in rocks and silica sand http://nobelprize.org/educational_games/physics/transistor/history/
  3. Korea/Taiwan emerge through 1980s/90s,
  4. Much less widespread compared to other industries (ie: automobiles) TODAY approx. ownership of production: **overlap in manufacturing facilities Asia-Pacific – 40% USA – 40% Japan – 20% Europe – 8%
  5. INVISIBLE:: Most people don’t shop for them Importance of military stimulation to industry Japan and Europe, military is less important – demand stimulated by consumer segment new firms that had entered the personal computer market since the second half of the 1970s—Computer, Radio Shack, and Commodore first, and a stream of new start ups later—were all specialized computer firms. These firms preferred to buy advanced semiconductor devices from large capable and innovative microprocessor firms, rather than try to vertically integrate. Thus, Intel became the de-facto leader for the microprocessor market. Communications equipment Internet, mobile phones Digital consumer goods TV, Cameras, Music, PDAs, Video games, etc.
  6. Evident correlation between computer sales and semiconductor suppliers With greater sales to communications equipment suppliers – demand is also connected to NETWORK SERVICE PROVIDERS
  7. New generation effect = as new semi-conductors are produced that are faster and smaller which in turn drops demand for older generations More competitors, with differing operating environments
  8. 1950 – Commercial introduction 1970s – Output doubles Since 1980s – grown 20-fold See fluctuations in demand – creating gluts and shortages Supply gluts = intensified price competition (lower prices) 1996, 1998, 2001 Supply shortage = increased prices 1994, 1997, 2000
  9. $200B semiconductor industry generates over $1,200B in electronics systems business and $5,000 billion in services, representing close to 10% of world GDP
  10. In 2005, the semiconductor industry made over 90 million transistors for every man, woman and child on Earth, and by 2010, this number should be 1 billion transistors. Global sales of semiconductors fell 2.8 per cent in 2008 compared with the previous year, the first year-on-year drop since 2001, the Semiconductor Industry Association (SIA) reported on Monday. China – 20%/year growth $42billion to be purchased from chinese designed manufacture
  11. Silverthorne" processor for ultramobile devices Intel's new chip is a tiny 25mm 2 . At only 47 million transistors (about 40 percent of that is a 512K, 8-way set associative L2 cache), it's also quite lean
  12. 3 ways for gov’t to help develop industry: 1. Building indigenous production capacity based upon domestically owned firms 2. Attracting foreign semiconductor firms to establish production units 3. Purchasing semi conductors on the open market and contracting on developing the end uses Choice depends on government’s abilities and situation
  13. US-Japan Semiconductor Trade Agreement 1986: allowed American semiconductor manufacturers access to the Japanese market US attempts to solve issue of illegal subsidization of Hynix semiconductors out of Korea has been unsuccessful US attempts to gain fair access to Chinese market also unresolved
  14. VSLI = Very Large Scale Integration
  15. Planning and coordinating semiconductor R&D, import assimilating, disseminating foreign technologies, providing technical assistance to Korean firms and undertaking market research Invariably it was governments, rather than markets, that kick-started the move into chip-making. In some countries, such as Taiwan, the involvement was direct and temporary: both TSMC and UMC were spun off from government-funded research projects into publicly listed companies. In other cases, the involvement was indirect and open-ended: in South Korea, for instance, the government leaned--and continues to lean--on commercial banks to finance the move into chip making by the country's family-controlled conglomerates. The benefits for economic development seemed to justify this approach, and Singapore and Malaysia, too, accepted the idea without question. Source Citation: "The great chip glut; Semiconductor manufacturers."  The Economist (US)  (August 11, 2001): N/A.  Academic OneFile . Gale. University of Lethbridge. 6 Feb. 2009  <http://0-find.galegroup.com.darius.uleth.ca/itx/start.do?prodId=AONE>. Gale Document Number: A78563321
  16. Taiwanese government has instigated mainly joint-venture spin-off projects by combining local firms with foreign firms and investment Hsinchu Science-Based Industrial Park near Taipei, AKA “silicon valley of the east” Taiwan is now that world’s 3 rd largest semiconductor producer
  17. Almost all firms are foreign, their continued presence proves the kind of supportive environment provided by the government
  18. An integrated device manufacturer (IDM) is a semiconductor company which designs, manufactures, and sells integrated circuit (IC) products. Cypress Semiconductor, Fujitsu, Hitachi, IBM, IDT, Intel, LSI Corporation, Matsushita, Mitsubishi, Freescale, NEC, Philips, NXP, Samsung, STMicroelectronics, Infineon, Sony, National Semiconductor, Texas Instruments, and Toshiba. Vertical integrated captive producers manufacture for in-house use. Vertical integrated captive-merchant producers manufacture semiconductors partly for their own use and partly for sale. Merchant producers are companies making semiconductors only for sale. A fabless semiconductor company specializes in the design and sale of hardware devices implemented on semiconductor chips but do not manufacture them. Nvidia Today most major IDMs including Freescale, Infineon, Texas Instruments and Cypress Semiconductor have adopted the practice of outsourcing chip manufacturing as a significant manufacturing strategy. Foundries manufacture semiconductors to customer specifications but do not design them UMC (United Microelectronics Corporation) which was founded as Taiwan's first semiconductor company in 1980 Taiwan Semiconductor Manufacturing Company (TSMC)
  19. The commercial production of semiconductors began in the U.S. in the 1950s. US firms dominated world semiconductor market for almost three decades until Japanese firms entered to the market and overtaken US firms. Most semiconductor companies, especially IDMs, focused on producing DRAMs, because they could take advantages of mass production to dominate semiconductor market. US firms were overtaken by Japanese firms which entered market with low price and high quality. To recover their market dominance, they had to move our DRAM market into more sophisticated semiconductor devices. Wintelism helped intel positioned in the top semiconductor companies. From that point, U.S. firms settled downed as merchant producer selling semiconductor devices to end users. Although most of US plants are located within the US, they also moved their factories to abroad. Since the early 1960s, US firms have started built assembly plants in Asian country seeking low-labor cost. Fairchild was the first case. In 1962, they build the first plant in HK, and in 1964, General Instruments transferred assembly line to Taiwan. The model has been further validated by the conversion of major IDMs to a completely fabless model, including (for example) Conexant Systems, Semtech, and most recently, LSI Logic.
  20. VLSI project (Very Large Scale Integration) NEC, Fujitsu, Hitachi, Toshiba ,Mitsubishi Japanese government funded 40% of total cost between 1976 and 1979. As us firms did, Japanese firms concentrate on DRAM producing to take advantages of mass production. Japanese firms are typical vertical integrated captive producers, producing chips for in-house use. BUT, the lead of Japanese firms didn’t last long. b/c they were squeezed between reviving US firms targeting non memory semiconductors and Korean firms aiming DRAM industry Contrast to the US, Japanese firms tended to remain in the DRAM market. However, They under-estimated the influence Korean companies. Japan overtaken by a Korean company, Samsung. . To over come this trouble, Japanese firms find new strategies. In 1987, Toshiba invented NAND flash memory, and they diversified their production in flash memory sector. But, it also was overtaken by Samsung in a decade. second solution was increase of outsourcing to reduce the producing cost, which oppose Japanese strategy that keeping their production in-house to control quality Third one was massive merges and joint ventures. In 2002, Mitsubishi and Hitachi were spun off and merged to form a new separate legal entity named Renesas. In 2003, AMD and Fujitsu created a joint-venture company named Spansion.
  21. Like a Japanese semiconductor industry development, Korean government strive to establish a semiconductor industry. Korean government supported 3 major companies, Samsung, LG, and hyundai which are hynix today. Korea also targeted DRAM industry with cheap labor cost, but they invested a huge amount of money on R&D to takeover other developed companies. Korean firms were imitator at the first time. They depended on technology abandoned by US and Japanese firms But their R&D in silicon belly was impressive. Since Samsung invented 64MB DRAM at the first time in 1992, their technology in DRAM manufacturing was ahead of any other company To those firms who rely heavily on a DRAM industry, the rapid decrease of DRAM price is bid damage Due to the decreasing price, Korean firms had to find a breakthrough One was a new target in NAND flash field which was invented by a Japanese firm In 2006, Samsung occupied 48.7% of NAND flash market followed by Toshiba which was 24.6% Recently, Samsung is considering merging the Sandisk, if it happened, it is expected that Samsung solidify their top position in flash memory market The other was diversifying into non-memory market like Application possessors for mobile devices.
  22. Similar to Korea and Japan, there was a intervention of government. Two representative Taiwanese semiconductor companies are state owned companies, which are TSMC (Taiwan Semiconductor Manufacturing Company) and UMC (United Microelectronics Corporation) Joint ventured with qualcomm, solely running two runners In 2006, both companies occupied 70% of foundry market. People question that Chinese SMIC can overtake these two Taiwanese companies Taiwanese experts believe it is not possible Chinese companies could save money on R&D through joint venture with infineon, elpida, toshiba, fujitsu They have only technnique to make memory chips, not logic IC Even though they are willing to invest on R&D, I will be tremendous amount.
  23. STMicroelectronics is an Italian-French electronics and semiconductor manufacturer headquartered in Geneva, Switzerland. On May 1, 2006, Infineon's Memory Products division was carved out as a distinct company called Qimonda AG. In 2006, the semiconductor operations of the parent company, Philips were sold to a consortium of private equity firms through an LBO to form a new separate legal entity named NXP Semiconductors. On May 1, 2006, Infineon's Memory Products division was carved out as a distinct company called Qimonda AG. 2009,JAN,28 Qimonda was bankrupted and impact European silicon Valley
  24. the division of labor between the US and Asia, and within Asia between affiliates and local producers, deepened significantly Now designing and producing
  25. 3 ways for gov’t to help develop industry: 1. Building indigenous production capacity based upon domestically owned firms 2. Attracting foreign semiconductor firms to establish production units 3. Purchasing semi conductors on the open market and contracting on developing the end uses