SlideShare uma empresa Scribd logo
1 de 39
DesignDesign
MethodologiesMethodologies
A Simple ProcessorA Simple Processor
MEMORY
DATAPATH
CONTROL
PUT-OUTPUT
INPUT/OUTPUT
A System-on-a-Chip: ExampleA System-on-a-Chip: Example
Courtesy: Philips
Implementation ChoicesImplementation Choices
Full Custom
Standard Cells
Compiled Cells
Macro Cells
Cell-based
Pre-diffused
(Gate Arrays)
Pre-wired
(FPGA's)
Array-based
Semicustom
Digital Circuit Implementation Approaches
Full-Custom Design Methodology
 Design a chip from scratch.
 Custom mask layers are created in order to fabricate
a full-custom IC
Cost can be amortized over a large volume
Ex: Microprocessors and memory chips
Cost is not primary concern
Super computers and defense applications
 Engineers design some or all of the logic cells, circuits,
and the chip layout specifically for a full-custom IC.
 Advantages
complete flexibility, high degree of
optimization in performance and area.
Reused many times (Ex: library cell)
 Disadvantages
 large amount of design effort, expensive.
Solution
Semi-custom design approaches
Full-custom design methodology
 Early days of digital microelectronics
 High performance and design density
– Handcrafting circuit topology and physical design
 Advances in the design automation
 Share of custom design reduces from year to year
– High performance processors
All modules are designed using semi-custom approaches
Performance critical modules using full custom
» Phase locked-loops and clock buffers
Semi-Custom Design MethodologySemi-Custom Design Methodology
 Variety of semi-custom design
approaches
 To reduce design time
 To automate design process
 Penalty
 Reduced integration density and/or
performance
The Custom ApproachThe Custom Approach
Intel 4004
Courtesy Intel
Transition to Automation and Regular StructuresTransition to Automation and Regular Structures
Intel 4004 (‘71)Intel 4004 (‘71)
Intel 8080Intel 8080 Intel 8085Intel 8085
Intel 8286Intel 8286 Intel 8486Intel 8486
Courtesy Intel
Standard Cell-based DesignStandard Cell-based Design
Routing channel
requirements are
reduced by presence
of more interconnect
layers
Functional
module
(RAM,
multiplier, …)
Routing
channel
Logic cellFeedthrough cell
Rowsofcells
Standard-cell layout methodology
Standard Cell-based DesignStandard Cell-based Design
 Standardizes the design entry at the gate
level
 Design capture
– using schematic entry
– Generated automatically using HDL
 Library containing a wide selection of logic gates
over a wide range of fan-in and fan-out
– INV, AND/NAND, XOR/XNOR and FFS
 Complex functions
– Full adders, comparators, Mux, counters, decoders
 Library cells
– Same height
– Width varies depending on the functionality
Standard Cell-based DesignStandard Cell-based Design
 Layout is generated automatically
 Cells are placed in rows separated by routing channels
 All the cells should have the same height
 Width of cell vary depending on the complexity
 Cells are interconnected using routing channels
 Reduction of routing overhead is challenging goal
 Feed-through cells
 Adding more interconnect layers
 Library cells
 Small library
– Cells with limited fan-in
 Large library
– Many versions of each cell
Sized for different driving strength
Performance
Power consumption levels
 Generation and detailed documentation of cell library
 Functionality
 characterization of the delay and power as a function of load
capacitance and input rise and fall times
Standard Cell — ExampleStandard Cell — Example
[Brodersen92]
Standard Cell – The New GenerationStandard Cell – The New Generation
Cell-structure
hidden under
interconnect layers
Standard Cell - ExampleStandard Cell - Example
3-input NAND cell
(from ST Microelectronics):
C = Load capacitance
T = input rise/fall time
Compiled cellsCompiled cells
 Implementing and characterization of a library
of cells
 Expensive
 Technology changes
 Need to prepare layout for complete library
 Need for characterization of complete library
 Automated approaches
 Generation of cell layouts on the fly for the given
transistor netlist
A Historical Perspective: the PLAA Historical Perspective: the PLA
x0 x1 x2
AND
plane
x0x1
x2
Product terms
OR
plane
f0 f1
Two-Level LogicTwo-Level Logic
Inverting format (NOR-
NOR) more effective
Every logic function can be
expressed in sum-of-products
format (AND-OR)
minterm
PLA Layout – Exploiting RegularityPLA Layout – Exploiting Regularity
f0 f1
x0 x0 x1 x1 x2 x2
Pull-up devices Pull-up devices
VDD GNDφ
And-Plane Or-Plane
Breathing Some New Life in PLAsBreathing Some New Life in PLAs
River PLAs
 A cascade of multiple-output PLAs.
 Adjacent PLAs are connected via river routing.
P R E - C H A R G E
PRE-
CHARGE
P R E - C H A R G E
PRE-CHARGE
B U F F E R
B U F F E R
BUFFER
BUFFER
P R E - C H A R G E
PRE-CHARGE
B U F F E R
BUFFER
P R E - C H A R G E
PRE-
CHARGE
B U F F E R
BUFFER
• No placement and routing needed.
• Output buffers and the input buffers
of the next stage are shared.
Courtesy B. Brayton
Experimental ResultsExperimental Results
Layout of C2670
Network of PLAs,
4 layers OTC
River PLA,
2 layers no additional routing
Standard cell,
2 layers channel routing
Standard cell,
3 layers OTC
0.2
0.6
1
1.4
0 2 4 6 area
delay
S C N P L A R P L A
Area:
RPLAs (2 layers) 1.23
SCs (3 layers) - 1.00,
NPLAs (4 layers) 1.31
Delay
RPLAs 1.04
SCs 1.00
NPLAs 1.09
Synthesis time: for RPLA , synthesis time equals design time;
SCs and NPLAs still need P&R.
Also: RPLAs are regular and predictable
MacroModulesMacroModules
256×32 (or 8192 bit) SRAM
Generated by hard-macro module generator
MacrocellsMacrocells
 Complex cells
 Multipliers, data paths, memories and embedded microprocessors,
DSPs
 Hard macro
– Module with given functionality and a predetermined physical
implementation
– Represents the Custom design of the requested function
– Can be parameterized
– Predictable performance and power dissipation
 Soft macro
– Module with given functionality without a specific physical
implementation
– Timing can be determined after the final synthesis and routing
– Soft-macro cell generators
– Gives netlist for the given function and for requested paarmeter values
““Soft” MacroModulesSoft” MacroModules
Synopsys DesignCompiler
““Intellectual Property”Intellectual Property”
A Protocol Processor for Wireless
Semicustom Design FlowSemicustom Design Flow
HDLHDL
Logic SynthesisLogic Synthesis
FloorplanningFloorplanning
PlacementPlacement
RoutingRouting
Tape-out
Circuit ExtractionCircuit Extraction
Pre-Layout
Simulation
Pre-Layout
Simulation
Post-Layout
Simulation
Post-Layout
Simulation
StructuralStructural
PhysicalPhysical
BehavioralBehavioralDesign Capture
DesignIterationDesignIteration
SEMICUSTOM DESIGN FLOWSEMICUSTOM DESIGN FLOW
 Design capture
 Schematic, HDLs like VHDL, VERILOG, SystemC
 Logic Synthesis
 Translates modules into net list
 Prelayout Simulation and Verification
 Design is checked for correctness
 Performance analysis
– Estimated parasitic and layout parameters
 Floor planning
 Schematic representation of tentative placement of its major
functional blocks
– Based on estimated module sizes
– Global power and clock distribution network is also conceived at
this time
SEMICUSTOM DESIGN FLOWSEMICUSTOM DESIGN FLOW
 Placement
 Precise positioning of the cells is decided
 Routing
 The interconnection between cells and blocks are wired
 Extraction
 Model of chip is generated from the physical layout
– precise device sizes, device parasitic, and interconnect parastics
 Post layout simulation and verification
 Verification of functionality and performance in the presence of
layout parastics
– Lack of meeting required functionality and performance
Repeat the design steps
» Floorplanning, Placement, Routing
 Tape out
 Binary file needed for mask generation after verifying the design for
the given specification
– To ASIC vendor or foundry
Pre-diffused
(Gate Arrays)
Pre-wired
(FPGA's)
Array-based
Late-Binding ImplementationLate-Binding Implementation
Array-Based Programmable LogicArray-Based Programmable Logic
PLA PROM PAL
I5 I4
O0
I3 I2 I1 I0
O1O2O3
Programmable AND array
Programmable
OR array I5 I4
O0
I3 I2 I1 I0
O1O2O3
Programmable AND array
Fixed OR array
Indicates programmable connection
Indicates fixed connection
O0
I3 I2 I1 I0
O1O2O3
Fixed AND array
Programmable
OR array
Programming a PROMProgramming a PROM
f0
1 X2 X1 X0
f1NANA
: programmed node
More Complex PALMore Complex PAL
From Smith97
programmable AND array (2i 3 jk) k macrocells
j -wide OR array
j
macrocell
product
terms
D Q
A
1
j
B
CLK
OUT
C i i inputs
i inputs, j minterms/macrocell, k macrocells
2-input mux2-input mux
as programmable logic blockas programmable logic block
F
A 0
B
S
1
Configuration
A B S F=
0 0 0 0
0 X 1 X
0 Y 1 Y
0 Y X XY
X 0 Y
Y 0 X
Y 1 X X 1 Y
1 0 X
1 0 Y
1 1 1 1
XY
XY
X
Y
Logic Cell of Actel Fuse-Based FPGALogic Cell of Actel Fuse-Based FPGA
A
B
SA Y
1
C
D
SB
1
S0
S1
1
Look-up Table Based Logic CellLook-up Table Based Logic Cell
Out
ln1 ln2
Memory
In Out
00 00
01 1
10 1
11 0
LUT-Based Logic CellLUT-Based Logic Cell
Courtesy Xilinx
D4
C1....C4
x
xxxxx
D3
D2
D1
F4
F3
F2
F1
Logic
function
of
xxx
Logic
function
of
xxx
Logic
function
of
xxx
xx
xx
4
xx
xx
xx
xx
xx
xx
xx
x
xx
xxxx xxxx xxxx
H
P
Bits
control
Bits
control
Multiplexer Controlled
by Configuration Program
x
xx
x
xx
xxx xx
xxxx
x
xx
xxxx
xx
x
xx
xxx
xx
Xilinx 4000 Series
Figure must be
updated
Altera MAXAltera MAX
From Smith97
Altera MAX Interconnect ArchitectureAltera MAX Interconnect Architecture
LAB2
PIA
LAB1
LAB6
tPIA
tPIA
row channelcolumn channel
LAB
Courtesy Altera
Array-based
(MAX 3000-7000)
Mesh-based
(MAX 9000)
Field-Programmable Gate ArraysField-Programmable Gate Arrays
Fuse-basedFuse-based
I / O B u f f e r s
P ro g r a m / T e s t/ D ia g n o s t i c s
I / O B u f f e r s
I/OBuffers
I/OBuffers
V e r t i c a l ro u t e s
R o w s o f lo g i c m o d u le s
R o u ti n g c h a n n e l s
Standard-cell like
floorplan

Mais conteúdo relacionado

Mais procurados

System On Chip
System On ChipSystem On Chip
System On Chip
anishgoel
 

Mais procurados (20)

Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
FPGA
FPGAFPGA
FPGA
 
PCI express
PCI expressPCI express
PCI express
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
Thunderbolt
ThunderboltThunderbolt
Thunderbolt
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Session 2,3 FPGAs
Session 2,3 FPGAsSession 2,3 FPGAs
Session 2,3 FPGAs
 
Fpga
FpgaFpga
Fpga
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
FPGA Introduction
FPGA IntroductionFPGA Introduction
FPGA Introduction
 
CPLDs
CPLDsCPLDs
CPLDs
 
Esp8266 NodeMCU
Esp8266 NodeMCUEsp8266 NodeMCU
Esp8266 NodeMCU
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Fpga Knowledge
Fpga KnowledgeFpga Knowledge
Fpga Knowledge
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 

Destaque

Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
Shams Tabrej
 
クックパッドのLVSについて
クックパッドのLVSについてクックパッドのLVSについて
クックパッドのLVSについて
Sugawara Genki
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
Deiptii Das
 

Destaque (20)

Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodology
 
Mach dien cong mos
Mach dien cong mosMach dien cong mos
Mach dien cong mos
 
Standard cells library design
Standard cells library designStandard cells library design
Standard cells library design
 
LVS
LVSLVS
LVS
 
PowerDRC/LVS 2.2 released by POLYTEDA
PowerDRC/LVS 2.2 released by POLYTEDAPowerDRC/LVS 2.2 released by POLYTEDA
PowerDRC/LVS 2.2 released by POLYTEDA
 
Introduction to VLSI
Introduction to VLSIIntroduction to VLSI
Introduction to VLSI
 
クックパッドのLVSについて
クックパッドのLVSについてクックパッドのLVSについて
クックパッドのLVSについて
 
online Freelancer report 2016
online Freelancer report 2016online Freelancer report 2016
online Freelancer report 2016
 
Phase lockedLoop
Phase lockedLoopPhase lockedLoop
Phase lockedLoop
 
Energy and area efficient three input xor xno rs with systematic cell design...
Energy and area efficient three input xor  xno rs with systematic cell design...Energy and area efficient three input xor  xno rs with systematic cell design...
Energy and area efficient three input xor xno rs with systematic cell design...
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
Full custom digital ic design of priority encoder
Full custom digital ic design of priority encoderFull custom digital ic design of priority encoder
Full custom digital ic design of priority encoder
 
Low Power Design - PPT 1
Low Power Design - PPT 1 Low Power Design - PPT 1
Low Power Design - PPT 1
 
STANDARD CELL LIBRARY DESIGN
STANDARD CELL LIBRARY DESIGNSTANDARD CELL LIBRARY DESIGN
STANDARD CELL LIBRARY DESIGN
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Vlsi
VlsiVlsi
Vlsi
 
Full custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoderFull custom Ic design Implementation of low power priority encoder
Full custom Ic design Implementation of low power priority encoder
 

Semelhante a Asic

Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptxLecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
wafawafa52
 

Semelhante a Asic (20)

1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdf
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
FPGA-Architecture.ppt
FPGA-Architecture.pptFPGA-Architecture.ppt
FPGA-Architecture.ppt
 
FPGA-Arch (3).ppt
FPGA-Arch (3).pptFPGA-Arch (3).ppt
FPGA-Arch (3).ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA architecture.ppt
FPGA architecture.pptFPGA architecture.ppt
FPGA architecture.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA
FPGAFPGA
FPGA
 
DSD
DSDDSD
DSD
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems Design
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Fpga &;cpld(by alok singh)
Fpga &;cpld(by alok singh)Fpga &;cpld(by alok singh)
Fpga &;cpld(by alok singh)
 
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptxLecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
4_BIT_ALU
4_BIT_ALU4_BIT_ALU
4_BIT_ALU
 

Último

AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
ankushspencer015
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
dollysharma2066
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
Tonystark477637
 

Último (20)

(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
UNIT-IFLUID PROPERTIES & FLOW CHARACTERISTICS
UNIT-IFLUID PROPERTIES & FLOW CHARACTERISTICSUNIT-IFLUID PROPERTIES & FLOW CHARACTERISTICS
UNIT-IFLUID PROPERTIES & FLOW CHARACTERISTICS
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 

Asic

  • 2. A Simple ProcessorA Simple Processor MEMORY DATAPATH CONTROL PUT-OUTPUT INPUT/OUTPUT
  • 3. A System-on-a-Chip: ExampleA System-on-a-Chip: Example Courtesy: Philips
  • 4. Implementation ChoicesImplementation Choices Full Custom Standard Cells Compiled Cells Macro Cells Cell-based Pre-diffused (Gate Arrays) Pre-wired (FPGA's) Array-based Semicustom Digital Circuit Implementation Approaches
  • 5. Full-Custom Design Methodology  Design a chip from scratch.  Custom mask layers are created in order to fabricate a full-custom IC Cost can be amortized over a large volume Ex: Microprocessors and memory chips Cost is not primary concern Super computers and defense applications  Engineers design some or all of the logic cells, circuits, and the chip layout specifically for a full-custom IC.  Advantages complete flexibility, high degree of optimization in performance and area. Reused many times (Ex: library cell)  Disadvantages  large amount of design effort, expensive. Solution Semi-custom design approaches
  • 6. Full-custom design methodology  Early days of digital microelectronics  High performance and design density – Handcrafting circuit topology and physical design  Advances in the design automation  Share of custom design reduces from year to year – High performance processors All modules are designed using semi-custom approaches Performance critical modules using full custom » Phase locked-loops and clock buffers
  • 7. Semi-Custom Design MethodologySemi-Custom Design Methodology  Variety of semi-custom design approaches  To reduce design time  To automate design process  Penalty  Reduced integration density and/or performance
  • 8. The Custom ApproachThe Custom Approach Intel 4004 Courtesy Intel
  • 9. Transition to Automation and Regular StructuresTransition to Automation and Regular Structures Intel 4004 (‘71)Intel 4004 (‘71) Intel 8080Intel 8080 Intel 8085Intel 8085 Intel 8286Intel 8286 Intel 8486Intel 8486 Courtesy Intel
  • 10. Standard Cell-based DesignStandard Cell-based Design Routing channel requirements are reduced by presence of more interconnect layers Functional module (RAM, multiplier, …) Routing channel Logic cellFeedthrough cell Rowsofcells Standard-cell layout methodology
  • 11. Standard Cell-based DesignStandard Cell-based Design  Standardizes the design entry at the gate level  Design capture – using schematic entry – Generated automatically using HDL  Library containing a wide selection of logic gates over a wide range of fan-in and fan-out – INV, AND/NAND, XOR/XNOR and FFS  Complex functions – Full adders, comparators, Mux, counters, decoders  Library cells – Same height – Width varies depending on the functionality
  • 12. Standard Cell-based DesignStandard Cell-based Design  Layout is generated automatically  Cells are placed in rows separated by routing channels  All the cells should have the same height  Width of cell vary depending on the complexity  Cells are interconnected using routing channels  Reduction of routing overhead is challenging goal  Feed-through cells  Adding more interconnect layers  Library cells  Small library – Cells with limited fan-in  Large library – Many versions of each cell Sized for different driving strength Performance Power consumption levels  Generation and detailed documentation of cell library  Functionality  characterization of the delay and power as a function of load capacitance and input rise and fall times
  • 13. Standard Cell — ExampleStandard Cell — Example [Brodersen92]
  • 14. Standard Cell – The New GenerationStandard Cell – The New Generation Cell-structure hidden under interconnect layers
  • 15. Standard Cell - ExampleStandard Cell - Example 3-input NAND cell (from ST Microelectronics): C = Load capacitance T = input rise/fall time
  • 16. Compiled cellsCompiled cells  Implementing and characterization of a library of cells  Expensive  Technology changes  Need to prepare layout for complete library  Need for characterization of complete library  Automated approaches  Generation of cell layouts on the fly for the given transistor netlist
  • 17. A Historical Perspective: the PLAA Historical Perspective: the PLA x0 x1 x2 AND plane x0x1 x2 Product terms OR plane f0 f1
  • 18. Two-Level LogicTwo-Level Logic Inverting format (NOR- NOR) more effective Every logic function can be expressed in sum-of-products format (AND-OR) minterm
  • 19. PLA Layout – Exploiting RegularityPLA Layout – Exploiting Regularity f0 f1 x0 x0 x1 x1 x2 x2 Pull-up devices Pull-up devices VDD GNDφ And-Plane Or-Plane
  • 20. Breathing Some New Life in PLAsBreathing Some New Life in PLAs River PLAs  A cascade of multiple-output PLAs.  Adjacent PLAs are connected via river routing. P R E - C H A R G E PRE- CHARGE P R E - C H A R G E PRE-CHARGE B U F F E R B U F F E R BUFFER BUFFER P R E - C H A R G E PRE-CHARGE B U F F E R BUFFER P R E - C H A R G E PRE- CHARGE B U F F E R BUFFER • No placement and routing needed. • Output buffers and the input buffers of the next stage are shared. Courtesy B. Brayton
  • 21. Experimental ResultsExperimental Results Layout of C2670 Network of PLAs, 4 layers OTC River PLA, 2 layers no additional routing Standard cell, 2 layers channel routing Standard cell, 3 layers OTC 0.2 0.6 1 1.4 0 2 4 6 area delay S C N P L A R P L A Area: RPLAs (2 layers) 1.23 SCs (3 layers) - 1.00, NPLAs (4 layers) 1.31 Delay RPLAs 1.04 SCs 1.00 NPLAs 1.09 Synthesis time: for RPLA , synthesis time equals design time; SCs and NPLAs still need P&R. Also: RPLAs are regular and predictable
  • 22. MacroModulesMacroModules 256×32 (or 8192 bit) SRAM Generated by hard-macro module generator
  • 23. MacrocellsMacrocells  Complex cells  Multipliers, data paths, memories and embedded microprocessors, DSPs  Hard macro – Module with given functionality and a predetermined physical implementation – Represents the Custom design of the requested function – Can be parameterized – Predictable performance and power dissipation  Soft macro – Module with given functionality without a specific physical implementation – Timing can be determined after the final synthesis and routing – Soft-macro cell generators – Gives netlist for the given function and for requested paarmeter values
  • 26. Semicustom Design FlowSemicustom Design Flow HDLHDL Logic SynthesisLogic Synthesis FloorplanningFloorplanning PlacementPlacement RoutingRouting Tape-out Circuit ExtractionCircuit Extraction Pre-Layout Simulation Pre-Layout Simulation Post-Layout Simulation Post-Layout Simulation StructuralStructural PhysicalPhysical BehavioralBehavioralDesign Capture DesignIterationDesignIteration
  • 27. SEMICUSTOM DESIGN FLOWSEMICUSTOM DESIGN FLOW  Design capture  Schematic, HDLs like VHDL, VERILOG, SystemC  Logic Synthesis  Translates modules into net list  Prelayout Simulation and Verification  Design is checked for correctness  Performance analysis – Estimated parasitic and layout parameters  Floor planning  Schematic representation of tentative placement of its major functional blocks – Based on estimated module sizes – Global power and clock distribution network is also conceived at this time
  • 28. SEMICUSTOM DESIGN FLOWSEMICUSTOM DESIGN FLOW  Placement  Precise positioning of the cells is decided  Routing  The interconnection between cells and blocks are wired  Extraction  Model of chip is generated from the physical layout – precise device sizes, device parasitic, and interconnect parastics  Post layout simulation and verification  Verification of functionality and performance in the presence of layout parastics – Lack of meeting required functionality and performance Repeat the design steps » Floorplanning, Placement, Routing  Tape out  Binary file needed for mask generation after verifying the design for the given specification – To ASIC vendor or foundry
  • 30. Array-Based Programmable LogicArray-Based Programmable Logic PLA PROM PAL I5 I4 O0 I3 I2 I1 I0 O1O2O3 Programmable AND array Programmable OR array I5 I4 O0 I3 I2 I1 I0 O1O2O3 Programmable AND array Fixed OR array Indicates programmable connection Indicates fixed connection O0 I3 I2 I1 I0 O1O2O3 Fixed AND array Programmable OR array
  • 31. Programming a PROMProgramming a PROM f0 1 X2 X1 X0 f1NANA : programmed node
  • 32. More Complex PALMore Complex PAL From Smith97 programmable AND array (2i 3 jk) k macrocells j -wide OR array j macrocell product terms D Q A 1 j B CLK OUT C i i inputs i inputs, j minterms/macrocell, k macrocells
  • 33. 2-input mux2-input mux as programmable logic blockas programmable logic block F A 0 B S 1 Configuration A B S F= 0 0 0 0 0 X 1 X 0 Y 1 Y 0 Y X XY X 0 Y Y 0 X Y 1 X X 1 Y 1 0 X 1 0 Y 1 1 1 1 XY XY X Y
  • 34. Logic Cell of Actel Fuse-Based FPGALogic Cell of Actel Fuse-Based FPGA A B SA Y 1 C D SB 1 S0 S1 1
  • 35. Look-up Table Based Logic CellLook-up Table Based Logic Cell Out ln1 ln2 Memory In Out 00 00 01 1 10 1 11 0
  • 36. LUT-Based Logic CellLUT-Based Logic Cell Courtesy Xilinx D4 C1....C4 x xxxxx D3 D2 D1 F4 F3 F2 F1 Logic function of xxx Logic function of xxx Logic function of xxx xx xx 4 xx xx xx xx xx xx xx x xx xxxx xxxx xxxx H P Bits control Bits control Multiplexer Controlled by Configuration Program x xx x xx xxx xx xxxx x xx xxxx xx x xx xxx xx Xilinx 4000 Series Figure must be updated
  • 38. Altera MAX Interconnect ArchitectureAltera MAX Interconnect Architecture LAB2 PIA LAB1 LAB6 tPIA tPIA row channelcolumn channel LAB Courtesy Altera Array-based (MAX 3000-7000) Mesh-based (MAX 9000)
  • 39. Field-Programmable Gate ArraysField-Programmable Gate Arrays Fuse-basedFuse-based I / O B u f f e r s P ro g r a m / T e s t/ D ia g n o s t i c s I / O B u f f e r s I/OBuffers I/OBuffers V e r t i c a l ro u t e s R o w s o f lo g i c m o d u le s R o u ti n g c h a n n e l s Standard-cell like floorplan