SlideShare uma empresa Scribd logo
1 de 49
Baixar para ler offline
Verilog Fundamentals
Shubham Singh
Junior Undergrad.
Electrical Engineering
VERILOG FUNDAMENTALS
HDLs HISTORY
HOW FPGA & VERILOG ARE
RELATED
CODING IN VERILOG
HDLs HISTORY
HDL – HARDWARE DESCRIPTION LANGUAGE
EARLIER DESIGNERS USED BREADBOARDS
FOR DESIGNING
PRINTED CIRCUIT
BOARD
SOLDERLESS
BREADBOARD
HDLs ENABLED LOGIC LEVEL SIMULATION
AND TESTING
MANUAL
SIMULATE
GATE LEVEL
DESCRIPTION
THEN DESIGNERS BEGAN TO USE HDLs
FOR HIGHER LEVEL DESIGN
MANUAL
MANUAL
MANUAL
SIMUALTE
SIMULATE
SIMULATE
BEHAVIOURAL
ALGORITHM
REGISTER
TRANSFER
LEVEL
GATE LEVEL
HDLs LED TO TOOLS FOR AUTOMATIC
TRANSLATION
AUTOPLACE & ROUTE
GATE LEVEL
REGISTER
TRANSFER LEVEL
LOGIC SYNTHESIS
MANUAL
BEHAVIOURAL
ALGORITHM
SIMULATE
SIMULATE
SIMULATE
THE CURRENT SITUATION
C,C++
BEHAVIOURAL
VERILOG
MATLAB
STRUCTURAL
VERILOG
GATE
LEVEL
COMPILERS ARE NOT
AVAILABLE TO CONVERT
BEHAVIOURAL LEVEL TO
REGISTER TRANSFER
LEVEL
LOGIC SYNTHESIS
MUX 4 : GATE LEVEL DESIGNING
modulemux4(input a,b,c,d, input[1:0] sel, output out);
wire[1:0] sel_b;
not not0( sel_b[0], sel[0] );
not not1( sel_b[1], sel[1] );
wire n0, n1, n2, n3;
and and0( n0, c, sel[1] );
and and1( n1, a, sel_b[1] );
and and2( n2, d, sel[1] );
and and3( n3, b, sel_b[1] );
wirex0, x1;
nor nor0( x0, n0, n1 );
nor nor1( x1, n2, n3 );
wirey0, y1;
or or0( y0, x0, sel[0] );
or or1( y1, x1, sel_b[0] );
nand nand0( out, y0, y1 );
endmodule
MUX 4 : REGISTER TRANSFER LEVEL
Module mux4( input a, b, c, d
input[1:0] sel,
Output out );
wire out, t0, t1;
assign out = ( sel == 0 ) ? a :
( sel == 1 ) ? b :
( sel == 2 ) ? c :
( sel == 3 ) ? d : 1’bx;
endmodule
VERILOG & FPGAs
VERILOG
Verilog is a HARDWARE DESCRIPTION
LANGUAGE.
HDLs are used to describe a digital system
Not a programming language despite the
syntax being similar to C
Synthesized (analogous to compiled for C)
to give the circuit logic diagram
FPGAs
 Field Programmable Gate Array
 A Fully configurable IC
 FPGAs contain programmable logic components called
logic blocks.
 Contain hierarchy of reconfigurable interconnects that
allow the blocks to be wired together.
 Logic Blocks can be configured to any complex circuit.
 FPGA can be made to work as a Xor gate, a Counter or
even bigger- an entire Processor!
An FPGA
Logic Blocks
HOW TO PROGRAM FPGAs
 Configured using a Hardware Description
Language
 Can be configured by any way by the user
 Basic Idea :
BEHAVIOURAL DESCRIPTION
OF REQUIRED CIRCUIT
A COMPLETE CIRCUIT
DIAGRAM
VERILOG
SYNTHESISER
Synthesis of VERILOG :
CODING IN VERILOG
• BREAKING CIRCUITS INTO VARIOUS
BUILDING BLOCKS CALLED “MODULE”
• DEFINING MODULE
• CONNECTING VARIOUS MODULES
CODING IN VERILOG
Communication between a module and its
environment is achieved by using Ports
Ports are of three types: input, output,
inout
AN EXAMPLE : 4029 COUNTER
• Name: 4029
• Input Ports: One
• Output Ports: Four
• Size
• Driver type
• Internal Logic: At every rising edge of the
clock, increment the output by one
MODULE
A “Black Box” in Verilog with inputs,
outputs and internal logic working.
So, a module can be used to implement a
counter.
A module is defined as
module <specific type>(<port list>);
DEFINING 4029 MODULE
 Way 1:
module 4029(clk,out,reset,enable);
 Way 2:
module 4029(clk, a, b, c, d, reset, enable);
 Input and Output Ports in each of the above?
 EVERY PORT MUST HAVE A DIRECTION AND BITWIDTH
 Every module ends with the statement
endmodule
DECLARING PORTS
Way 1:
input clk;
input reset;
input enable;
output a,b,c,d;
Way 2:
input clk;
input reset;
input enable;
output [3:0] out;
DRIVERS IN VERILOG
 We need drivers for this module in order to interact with
the ports and describe its logical working.
 Two types of drivers:
• Can store a value (for example, flip-flop) : REG
• Cannot store a value, but connects two points (for
example, a wire) : WIRE
DRIVERS IN 4029
 Ports defined as wires?
• clk
• reset
• enable
We do not need to stores the values of these ports in our
logical block.
 Ports defined as reg?
• a,b,c,d
• out
We need to store them so that we could modify their values
when
required.
DEFINING DRIVERS FOR 4029
 Way 1:
wire clk;
wire reset;
wire enable;
reg a,b.c,d;
 Way 2:
wire clk;
wire reset;
wire enable;
reg [3:0] out;
Defining Internal Logic
OPERATORS AND CONDITIONAL
OPERATORS
All the arithmetic as well as logical
operators in Verilog are similar to C, except
++ and -- which are not available in Verilog.
Conditional statements are also similar to C
with following modifications:
• { is replaced by begin.
• } is replaced by end.
COMBINATIONAL CIRCUITS
Combinational circuits are acyclic
interconnections of gates.
And, Or, Not, Xor, Nand, Nor ……
Multiplexers, Decoders, Encoders ….
OUTPUT IS A FUNCTION OF PRESENT
INPUT ONLY
How are these gates, muxs etc. abstracted
in Verilog?
Gates, Add, Multiply … : by simple operators
like in C
Multiplexers … : by control statements like if-
else, case, etc
Gate level implementation of above high
level operators done by Verilog synthesizer.
SEQUENTIAL CIRCUITS
Circuits containing state elements are
called sequential circuits
OUTPUT DEPENDS ON THE PRESENT INPUT
AS WELL AS ON ITS PRESENT STATE.
How do you implement such an element in
Verilog?
always block
 Syntax
always @(condition)
begin
//Code
end
 Blocks starting with keyword always run
simultaneously.
 @ symbol is used to specify the condition which
should be satisfied for the execution of this block.
Usage of always block
 always
The code in this block will keep on executing.
 always @(a)
The code in this block will be executed every time
the value of a changes.
 always @(posedge clk)
This block is executed at every positive edge of
clk.
always @ BLOCK
It is an abstraction provided in Verilog to
mainly implement sequential circuits.
Also used for combinational circuits.
BLOCKING AND NON-BLOCKING
ASSIGNMENTS
 Non-blocking assignments happen in parallel.
always @ ( #sensitivity list # ) begin
B <= A ;
C <= B ; (A,B) = (1,2) -> (B,C) = (1,2)
end
 Blocking assignments happen sequentially.
always @ ( #sensitivity list # ) begin
B = A ;
C = B ; (A,B) = (1,2) -> (B,C) = (1,1)
end
POINTS TO NOTE
Use always@(*) block with blocking
assignments for combinational circuits
Use always@( posedge CLK) block with non-
blocking assignments for sequential
circuits.
Do not mix blocking and non-blocking
assignments.
A COMPLETE 4029 MODULE
module 4029 ( input wire clk,
input wire reset,
input wire enable,
output [3:0] reg out);
//You can declare direction as well as data type
//in the module definition.
always @(posedge clk)
begin
if (reset == 0 && enable == 0)
begin
out <= out +1;
end
end
always @(reset or enable)
begin
if (reset == 1’b1)
begin
out <= 0;
end
end
endmodule
AN EXAMPLE
ANOTHER EXAMPLE
WRONG SOLUTION
ANOTHER WAY : MULTIPLE always BLOCK
WRONG SOLUTION
Connecting Various Modules
Various modules are interconnected to
make a larger circuit (or module).
Each sub-module has a separate
Verilog file.
A sub-module may have another sub-
module in its circuit.
One needs to indicate the top level
module before synthesis.
EXAMPLE
module 4029(input wire clk, output
[3:0]reg out);
module 7447(input [3:0] reg in, output
[6:0] reg bcd);
module TOP(input wire clk, output
[6:0] reg bcd);
INSTANTIATION
 USED TO INTERCONNECT VARIOUS MODULES
 In the above example, we need to instantiate the
two sub-modules in the top level module
 THIS IS DONE AS FOLLOWS:
wire [3:0] c;
4029 counter (.clk(clk), .out(c) );
7447 decoder (.in(c), .bcd(bcd));
Problem Statement
 Level 1 : Theoretical Questions on basic syntax of
Verilog
 Level 2 : Design a digital system using Verilog .
(weightage will be given to how much modular
your circuit is )

Mais conteúdo relacionado

Semelhante a Verilog_ppt.pdf

Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesNirav Desai
 
System design using HDL - Module 3
System design using HDL - Module 3System design using HDL - Module 3
System design using HDL - Module 3Aravinda Koithyar
 
Gate level design -For beginners
Gate level design -For beginnersGate level design -For beginners
Gate level design -For beginnersDr.YNM
 
Programmable Logic Array
Programmable Logic Array Programmable Logic Array
Programmable Logic Array Sharun Rajeev
 
ADC and DAC interfacing.pdf
ADC and DAC interfacing.pdfADC and DAC interfacing.pdf
ADC and DAC interfacing.pdfVikasMahor3
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingTom Spyrou
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairloyad20119
 
Digital system design practical file
Digital system design practical fileDigital system design practical file
Digital system design practical fileArchita Misra
 
Seminar on field programmable gate array
Seminar on field programmable gate arraySeminar on field programmable gate array
Seminar on field programmable gate arraySaransh Choudhary
 
vlsi design using verilog presentaion 1
vlsi design using verilog   presentaion 1vlsi design using verilog   presentaion 1
vlsi design using verilog presentaion 1MANDHASAIGOUD1
 
Galil ioc7007 catalog
Galil ioc7007 catalogGalil ioc7007 catalog
Galil ioc7007 catalogElectromate
 

Semelhante a Verilog_ppt.pdf (20)

VLSI & E-CAD Lab Manual
VLSI & E-CAD Lab ManualVLSI & E-CAD Lab Manual
VLSI & E-CAD Lab Manual
 
10617568.ppt
10617568.ppt10617568.ppt
10617568.ppt
 
Plc
PlcPlc
Plc
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
e CAD lab manual
e CAD lab manuale CAD lab manual
e CAD lab manual
 
System design using HDL - Module 3
System design using HDL - Module 3System design using HDL - Module 3
System design using HDL - Module 3
 
Gate level design -For beginners
Gate level design -For beginnersGate level design -For beginners
Gate level design -For beginners
 
EMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICSEMBEDDED SYSTEM BASICS
EMBEDDED SYSTEM BASICS
 
Programmable Logic Array
Programmable Logic Array Programmable Logic Array
Programmable Logic Array
 
CPLD & FPGA
CPLD & FPGACPLD & FPGA
CPLD & FPGA
 
ADC and DAC interfacing.pdf
ADC and DAC interfacing.pdfADC and DAC interfacing.pdf
ADC and DAC interfacing.pdf
 
tau 2015 spyrou fpga timing
tau 2015 spyrou fpga timingtau 2015 spyrou fpga timing
tau 2015 spyrou fpga timing
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
Digital system design practical file
Digital system design practical fileDigital system design practical file
Digital system design practical file
 
ECAD lab manual
ECAD lab manualECAD lab manual
ECAD lab manual
 
Seminar on field programmable gate array
Seminar on field programmable gate arraySeminar on field programmable gate array
Seminar on field programmable gate array
 
Shishupal plc
Shishupal plcShishupal plc
Shishupal plc
 
vlsi design using verilog presentaion 1
vlsi design using verilog   presentaion 1vlsi design using verilog   presentaion 1
vlsi design using verilog presentaion 1
 
Galil ioc7007 catalog
Galil ioc7007 catalogGalil ioc7007 catalog
Galil ioc7007 catalog
 
PLC Architecture
PLC ArchitecturePLC Architecture
PLC Architecture
 

Último

Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniquesugginaramesh
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 

Último (20)

POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniques
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 

Verilog_ppt.pdf

  • 1. Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering
  • 2. VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG
  • 3. HDLs HISTORY HDL – HARDWARE DESCRIPTION LANGUAGE
  • 4. EARLIER DESIGNERS USED BREADBOARDS FOR DESIGNING PRINTED CIRCUIT BOARD SOLDERLESS BREADBOARD
  • 5. HDLs ENABLED LOGIC LEVEL SIMULATION AND TESTING MANUAL SIMULATE GATE LEVEL DESCRIPTION
  • 6. THEN DESIGNERS BEGAN TO USE HDLs FOR HIGHER LEVEL DESIGN MANUAL MANUAL MANUAL SIMUALTE SIMULATE SIMULATE BEHAVIOURAL ALGORITHM REGISTER TRANSFER LEVEL GATE LEVEL
  • 7. HDLs LED TO TOOLS FOR AUTOMATIC TRANSLATION AUTOPLACE & ROUTE GATE LEVEL REGISTER TRANSFER LEVEL LOGIC SYNTHESIS MANUAL BEHAVIOURAL ALGORITHM SIMULATE SIMULATE SIMULATE
  • 8. THE CURRENT SITUATION C,C++ BEHAVIOURAL VERILOG MATLAB STRUCTURAL VERILOG GATE LEVEL COMPILERS ARE NOT AVAILABLE TO CONVERT BEHAVIOURAL LEVEL TO REGISTER TRANSFER LEVEL LOGIC SYNTHESIS
  • 9. MUX 4 : GATE LEVEL DESIGNING modulemux4(input a,b,c,d, input[1:0] sel, output out); wire[1:0] sel_b; not not0( sel_b[0], sel[0] ); not not1( sel_b[1], sel[1] ); wire n0, n1, n2, n3; and and0( n0, c, sel[1] ); and and1( n1, a, sel_b[1] ); and and2( n2, d, sel[1] ); and and3( n3, b, sel_b[1] ); wirex0, x1; nor nor0( x0, n0, n1 ); nor nor1( x1, n2, n3 ); wirey0, y1; or or0( y0, x0, sel[0] ); or or1( y1, x1, sel_b[0] ); nand nand0( out, y0, y1 ); endmodule
  • 10. MUX 4 : REGISTER TRANSFER LEVEL Module mux4( input a, b, c, d input[1:0] sel, Output out ); wire out, t0, t1; assign out = ( sel == 0 ) ? a : ( sel == 1 ) ? b : ( sel == 2 ) ? c : ( sel == 3 ) ? d : 1’bx; endmodule
  • 12. VERILOG Verilog is a HARDWARE DESCRIPTION LANGUAGE. HDLs are used to describe a digital system Not a programming language despite the syntax being similar to C Synthesized (analogous to compiled for C) to give the circuit logic diagram
  • 13. FPGAs  Field Programmable Gate Array  A Fully configurable IC  FPGAs contain programmable logic components called logic blocks.  Contain hierarchy of reconfigurable interconnects that allow the blocks to be wired together.  Logic Blocks can be configured to any complex circuit.  FPGA can be made to work as a Xor gate, a Counter or even bigger- an entire Processor!
  • 16. HOW TO PROGRAM FPGAs  Configured using a Hardware Description Language  Can be configured by any way by the user  Basic Idea : BEHAVIOURAL DESCRIPTION OF REQUIRED CIRCUIT A COMPLETE CIRCUIT DIAGRAM VERILOG SYNTHESISER
  • 18. CODING IN VERILOG • BREAKING CIRCUITS INTO VARIOUS BUILDING BLOCKS CALLED “MODULE” • DEFINING MODULE • CONNECTING VARIOUS MODULES
  • 19. CODING IN VERILOG Communication between a module and its environment is achieved by using Ports Ports are of three types: input, output, inout
  • 20. AN EXAMPLE : 4029 COUNTER • Name: 4029 • Input Ports: One • Output Ports: Four • Size • Driver type • Internal Logic: At every rising edge of the clock, increment the output by one
  • 21. MODULE A “Black Box” in Verilog with inputs, outputs and internal logic working. So, a module can be used to implement a counter. A module is defined as module <specific type>(<port list>);
  • 22. DEFINING 4029 MODULE  Way 1: module 4029(clk,out,reset,enable);  Way 2: module 4029(clk, a, b, c, d, reset, enable);  Input and Output Ports in each of the above?  EVERY PORT MUST HAVE A DIRECTION AND BITWIDTH  Every module ends with the statement endmodule
  • 23. DECLARING PORTS Way 1: input clk; input reset; input enable; output a,b,c,d; Way 2: input clk; input reset; input enable; output [3:0] out;
  • 24. DRIVERS IN VERILOG  We need drivers for this module in order to interact with the ports and describe its logical working.  Two types of drivers: • Can store a value (for example, flip-flop) : REG • Cannot store a value, but connects two points (for example, a wire) : WIRE
  • 25. DRIVERS IN 4029  Ports defined as wires? • clk • reset • enable We do not need to stores the values of these ports in our logical block.  Ports defined as reg? • a,b,c,d • out We need to store them so that we could modify their values when required.
  • 26. DEFINING DRIVERS FOR 4029  Way 1: wire clk; wire reset; wire enable; reg a,b.c,d;  Way 2: wire clk; wire reset; wire enable; reg [3:0] out;
  • 28. OPERATORS AND CONDITIONAL OPERATORS All the arithmetic as well as logical operators in Verilog are similar to C, except ++ and -- which are not available in Verilog. Conditional statements are also similar to C with following modifications: • { is replaced by begin. • } is replaced by end.
  • 29. COMBINATIONAL CIRCUITS Combinational circuits are acyclic interconnections of gates. And, Or, Not, Xor, Nand, Nor …… Multiplexers, Decoders, Encoders …. OUTPUT IS A FUNCTION OF PRESENT INPUT ONLY
  • 30. How are these gates, muxs etc. abstracted in Verilog? Gates, Add, Multiply … : by simple operators like in C Multiplexers … : by control statements like if- else, case, etc Gate level implementation of above high level operators done by Verilog synthesizer.
  • 31. SEQUENTIAL CIRCUITS Circuits containing state elements are called sequential circuits OUTPUT DEPENDS ON THE PRESENT INPUT AS WELL AS ON ITS PRESENT STATE. How do you implement such an element in Verilog?
  • 32. always block  Syntax always @(condition) begin //Code end  Blocks starting with keyword always run simultaneously.  @ symbol is used to specify the condition which should be satisfied for the execution of this block.
  • 33. Usage of always block  always The code in this block will keep on executing.  always @(a) The code in this block will be executed every time the value of a changes.  always @(posedge clk) This block is executed at every positive edge of clk.
  • 34. always @ BLOCK It is an abstraction provided in Verilog to mainly implement sequential circuits. Also used for combinational circuits.
  • 35. BLOCKING AND NON-BLOCKING ASSIGNMENTS  Non-blocking assignments happen in parallel. always @ ( #sensitivity list # ) begin B <= A ; C <= B ; (A,B) = (1,2) -> (B,C) = (1,2) end  Blocking assignments happen sequentially. always @ ( #sensitivity list # ) begin B = A ; C = B ; (A,B) = (1,2) -> (B,C) = (1,1) end
  • 36. POINTS TO NOTE Use always@(*) block with blocking assignments for combinational circuits Use always@( posedge CLK) block with non- blocking assignments for sequential circuits. Do not mix blocking and non-blocking assignments.
  • 37. A COMPLETE 4029 MODULE module 4029 ( input wire clk, input wire reset, input wire enable, output [3:0] reg out); //You can declare direction as well as data type //in the module definition.
  • 38. always @(posedge clk) begin if (reset == 0 && enable == 0) begin out <= out +1; end end
  • 39. always @(reset or enable) begin if (reset == 1’b1) begin out <= 0; end end endmodule
  • 43. ANOTHER WAY : MULTIPLE always BLOCK
  • 46. Various modules are interconnected to make a larger circuit (or module). Each sub-module has a separate Verilog file. A sub-module may have another sub- module in its circuit. One needs to indicate the top level module before synthesis.
  • 47. EXAMPLE module 4029(input wire clk, output [3:0]reg out); module 7447(input [3:0] reg in, output [6:0] reg bcd); module TOP(input wire clk, output [6:0] reg bcd);
  • 48. INSTANTIATION  USED TO INTERCONNECT VARIOUS MODULES  In the above example, we need to instantiate the two sub-modules in the top level module  THIS IS DONE AS FOLLOWS: wire [3:0] c; 4029 counter (.clk(clk), .out(c) ); 7447 decoder (.in(c), .bcd(bcd));
  • 49. Problem Statement  Level 1 : Theoretical Questions on basic syntax of Verilog  Level 2 : Design a digital system using Verilog . (weightage will be given to how much modular your circuit is )