SlideShare uma empresa Scribd logo
1 de 24
Baixar para ler offline
SEMI半导体产业网
www.semi.org.cn
     China Semiconductor and PV
     Market Overview


                  Lily Feng
                  Manager, Industry Research & Statistics
                  SEMI China
                  lifeng@semi.org

                  SEMICON Japan
                  Dec 02, 2008




 1
SEMI半导体产业网
www.semi.org.cn

    Agenda
    • China Semiconductor Fab Equipment and Material
      Market
      –   China Semiconductor Fab Market
      –   China Semiconductor Fab Material Market
      –   China Semiconductor Fab Equipment Market
      –   China Parts and Spares Market
    • China PV Market
    • Summary

2
SEMI半导体产业网
www.semi.org.cn

    China Annual GDP Growth Rate (2000 – 2009)




3      Source: IQTE (Institute of Quantitative & Technical Economics )
SEMI半导体产业网
www.semi.org.cn
    Market Drivers & Supply Chain:
    The Local Supply-Demand Gap Drives “Silicon Rush” in China



                                                   China IC Market Demand vs. Domestic IC Supply in 2000-2010


                                                                                                                                                  8%
                              120
                                                                                                                                       102




                                                                                                                                                         Portion of Domestic Supply
                                                                                                                                                  7%
                              100
     China IC Market (US$B)




                                                                                                                             91
                                                                                                                   85                             6%
                                                                                                         75
                              80
                                                                                                                                                  5%
                                                                                              57
                                                                                                                                                  4%
                              60                                                    48
                                                                         42.9
                                                                                                                                                  3%
                                                                34.4
                              40
                                                      27.6
                                                                                                                                                  2%
                                              20
                              20     11
                                                                                                                                                  1%
                                                                                                                                          6.0
                                                                                                                      5.3       5.2
                                                                                                           5.1
                                                                                                 3.9
                                                                                     2.806
                                                                           2.184
                                      0.426   0.497     0.574    0.729
                                                                                                                                                  0%
                               0
                                     2000     2001     2002     2003      2004      2005      2006       2007      2008       2009      2010

                                    CN Total IC market Demand            CN Domestic Supply             Domestic Supply of Total Demand(%)




                                                                   Source: CSIA, iSuppli, IC Insights, SEMI Industry Research and Statistics, Oct 2008

4
SEMI半导体产业网
www.semi.org.cn

    Government is the Biggest Investor
    • Semiconductor Investment:
      – Government co-invested in projects with a total of
        $6.6B in the past 5 years
      – Government is likely to invest total of over $20B in
        the next 5 years
         • Suzhou, Wuxi, Shangdong, Shanghai, Shenzhen, Dalian
      – Central Government will put $30B in
        semiconductor (semiconductor equipment and
        material are included) and software industry from
        2008 to 2020

5
SEMI半导体产业网
www.semi.org.cn
    Semiconductor Wafer Fabs in China
                         Legend
                                                      SMIC Fab 4 300 mm                     SMIC Fab 7 200 mm             JSMC Fab-x 150 mm
                    Site in production or
                                                      SMIC Fab 5 300 mm          *
                    ramp                              SMIC Fab 6 Backend
                    Site under construction           SGNEC Fab 1 150 mm
                *   Site equipping                    CSMC-IMECAS 150 mm
                    Site in plan
                    City of population >7M
                    City of population <7M
                    Capital
                                                                                                                                Jiling

                                                                                                                       Shenyang
             Nantong GMIC Fab 1 200 mm          *

             Wuxi                                                                                                           Dalian
                                                                                           Beijing
             Hynix-ST   Fab C1 200 mm                                                                                       Intel Fab 68 300 mm
             Hynix-ST   Fab C1 300 mm
             Hynix-ST   Fab C2 300 mm       *
                                                                                                     Tianjing     Suzhou Hejian Fab 1 200 mm
             CSMC       Fab 1 150 mm
                                                                                                                         Hejian Fab 2 300 mm
             CSMC       Fab 2 200 mm        *
                                                                                                                         PowerChip 200 mm
             CSWC       Fab 2 150 mm
                                                                                                                  Kushan IC Spectrum 200 mm
                                                                                                                         Anadigics     150 mm
             Xiyue Fab 1 150 mm
                                                                         Xian
             WXIC Fab 1 300 mm      *
             Cension Fab 1 200 mm
                                                                                                                         Shanghai
                                                        Chengdu
                                                                     Chongqin              Wuhan
             ProMOS Fab x 200 mm        *
             ProMOS Fab X 300 mm
                                                                   Leshan                                            SMIC Fab 1 200 mm
                                                                                                                     SMIC Fab 2 200 mm
                                                                                                                     SMIC Fab 3 Backend
                                                                                                        Fuzhou
             LPSC Fab 1 150 mm                                                                                       GSMC Fab 1 200 mm
                                                                                                                     GSMC Fab 2 200 mm
                                                                                                                     GSMC Fab 3 300 mm
             Hangzhou Silan Fab 2 150 mm
                                                                                                                     HHNEC Fab 1 200 mm
                                                                                                 Guangzhou
                                  Ningbo SinoMOS Fab 1 150 mm                                                        HHNEC Fab 2 200 mm
                                                                                                      Hong Kong      HHNEC Fab 1C 200 mm
                                                                                                                     HHNEC Fab x 300 mm
                                                    Fushun Microelectronics Fab 2 150 mm                             ASMC Fab 2 150 mm
                                                                                                                     ASMC Fab 3 200 mm
                                                                                                                     ASMC Fab 4 200 mm
                                                                                                                     BCD     Fab 1 150 mm
                                                                                                                     BCD     Fab 2 200 mm
                                                                  Zhuhai ACSMC Fab 1 150 mm                          TSMC Fab 10 200 mm
                                                                  Shenzhen Founder Electronics 150 mm                Belling Fab 2 150 mm
                                                                           Founder Electronics 150 mm                SMIC Fab 8 300 mm
                                                                           SMIC Fab X 300 mm                         SMIC Fab 9 CIS
                                                                           SMIC Fab X 200 mm                         SMIC Fab 10 Photo.V




6                                                                                                                                                 Source: SEMI (April 2008)
SEMI半导体产业网
www.semi.org.cn

            China Fab Capacity by Wafer Size
                                                                 Fab Capacity in China by Wafer Size

                                         1600

                                         1400
     K wpm (in 200mm wafer equivalent)




                                         1200

                                         1000

                                         800

                                         600

                                         400

                                         200

                                           0
                                           2001   2002   2003        2004         2005         2006           2007      2008(F)   2009(F)   2010(F)

                                                           300mm Capacity   200mm Capacity   150mm Capacity    125mm Capacity




    (k wpm in 200mm wafer equivalent, 2001-2010
7   Source: SEMI Industry Research and Statistics, Nov. 2008
SEMI半导体产业网
www.semi.org.cn
    Fab Material Break Down in China
    Market
                      Fab Material Break Dow n in China Market (US$ Million)


     1,600
     1,400
     1,200
     1,000
      800
      600
      400
      200
        0
        2003   2004          2005          2006         2007          2008 (F)          2009 (F)           2010 (F)

                Silicon                    Photomasks               Photoresists
                Photoresist Ancilliaries   Gases                    Wet Chemicals
                Targets                    CMP                      Other


8                                                         Source: SEMI Industry Research and Statistics, Nov.2008
SEMI半导体产业网
www.semi.org.cn
    Wafer Fab Process Equipment
    Spending in China
                               Wafer Processing Equipment Spending in China


                      3000                                                                                             120%




                                                                                                                                  % of New Eq. Spending on 300mm
                      2500                                                                                             100%


                      2000                                                                                             80%
        US$ Million




                      1500                                                                                             60%


                      1000                                                                                             40%


                      500                                                                                              20%


                         0                                                                                             0%
                               2000   2001    2002   2003     2004    2005    2006    2007   2008(F) 2009(F) 2010(F)


                       New Wafer Process Equipment          Total Wafer Process Equipment        300mm Tools (% of New Equipment)



                                                                                               Source: SEMI Industry Research and Statistics, Nov.2008
9
SEMI半导体产业网
www.semi.org.cn
     Category of Domestic Fab Equipment
     Supplier
     • Traditional Fab Equipment Supplier
       – Research Institution Rooted
       – State Owned Company
     • New Fab Equipment Supplier
       – Experienced Overseas Returnee
       – Owner of Key Government Project




10
SEMI半导体产业网
www.semi.org.cn
     Domestic Fab Equipment Supplier Profile
     -- Differentiation between Two Types of Supplier


                           Traditional
      Differentiation                                     New Supplier
                           Supplier
      Company Size              ~1000 people                          ~200 people
                            Master & PhD<=10% /                    50%>=Master /
      Talent
                               Low labor cost                      High labor cost
      Capital & Revenue         Self-Sufficiency                 Funding/VC/Loan
      Target Market            Mainland-China                       Global Market
                                 100-200mm/                           200-300mm/
      Technology
                                  >=0.25um                             <=100nm
                               Self-Developed/
      IP
                                Collaboration             Self-Developed/License

11                                                 Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
SEMI半导体产业网
www.semi.org.cn
     Domestic Fab Equipment Suppliers
     Overview
     Products Segment                                                         Company

     Exposure & Write Equipment                        SMEE         CECT 45           CECT 48             AdvanTools
                                                       SevenStar    SIAYUAN
     Photoresist Processing Equipment
                                                       AMEC         NMC               Sevenstar           CETC 48
     Etch Equipment
                                                       SevenStar    CETC 45           SIAYUAN
     Surface Conditioning Equipment
                                                       SevenStar    CETC 48
     Thermal Process Equipment
                                                       ZKX          CETC 48
     Ion Implant Equipment
     Thin Film Deposition Equipment
                                                       AMEC         NMC               SKY
     (CVD/Sputter/Electroplating/electro deposition)
     Inspection & Measurement Equipment                2 Start-up

     CMP Equipment
                                                       CETC 48      CETC 45           ACM
     Other Wafer Processing (OWP)

     Wafer Manufacturing                               LZR          XAUT              JYT                 JingYi




12                                                                        Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
SEMI半导体产业网
www.semi.org.cn
     China Leading Domestic Supplers
     Sales Break Down




                                            2005   2006                   2007                    2008(E)
     Semiconductor Equipment Growth Ratio   21%    38%                    32%                           1%
     Solar Equipment Growth Ratio            9%    52%                    75%                         57%
     Total Growth Ratio                     16%    42%                    40%                         50%
13                                                   Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
SEMI半导体产业网
www.semi.org.cn
     China Spare Parts Market Driving
     Force
     • Local Manufacturing Capability
        –   Flow Control
        –   Power Supply
        –   Quartz
        –   Ceramic
        –   Vacuum
        –   Machining
        –   Material Handling
     • Driving Force
        –   Fab
        –   Local Equipment Supplier
        –   Oversea Equipment OEM
        –   Used Equipment Supplier
14
SEMI半导体产业网
www.semi.org.cn

     Localization Case Study

                              Locally
                                                 Imported
     Localized Parts and    manufactured
     Subsystem Category
                                    Cost   Volume      Cost
                           Volume

     Machining System               90%     7%         10%
                            93%

     Mechanical System              <10%   >90%        >90%
                           <10%

     Electronical System            29%     68%        71%
                            32%

     Control Software                        -              -
                           100%     100%



15
SEMI半导体产业网
www.semi.org.cn

     Challenge VS. Opportunity
     • Management Mind Set
     • Standard Operation Process - Consistency
     • Technology Challenge
        – Special Processes
        – Raw Material (Aluminium /Ceramic /Quartz /Nickel)
     • Intellectual Property



16
SEMI半导体产业网
www.semi.org.cn
     Assembly Plants in China




17
SEMI半导体产业网
www.semi.org.cn

     Agenda
     • China Semiconductor Fab Equipment and Material
       Market
       –   China Semiconductor Fab Market
       –   China Semiconductor Fab Material Market
       –   China Semiconductor Fab Equipment Market
       –   China Parts and Spares Market
     • China PV Market
     • Summary

18
SEMI半导体产业网
www.semi.org.cn
    Polysilicon                  Project in China

                                                                   Linzhou Renesola JV 210T



                                                                           Luoyang China Silicon 900T

                         Xining Asia Silicon 0T
                                                                                   Xuzhou Zhong
                                                                                   Neng(GCL) 1500T

                                                                                  Yangzhou Shunda 50T


                    YaAn YongWang 50T                                             Shanghai Lengguang 45T
                    E`mei 350T


                    Leshan Xinguang 800T
                    Leshan Yongxiang 250T
                                                                                   Wuxi Zhongcai 280T
                      Leshan Dongqi 50T




                                                                                 Xinyu LDK Solar 15-
                                             Chongqing Daco group 400T
                                                                                 25T


                                                                     Yichang Nanbo(CSG) 30T
19   Source: SEMI
SEMI半导体产业网
www.semi.org.cn
        China Solar Grade Silicon Demand &
        Supply




20   Source: SEMI, Company Report, Solarbuzz
SEMI半导体产业网
www.semi.org.cn
     Solar Cell Manufacturers in China

                                           2008 / 2009
                                 Yingli 400MW / 600MW
                                 Jing Ao 600MW / 1000MW


                       2008 / 2009
        Suntech     1000MW / 1000MW
        SolarFun    360MW / 420MW
        China Energy 320MW / 480MW
        CSI         270MW / 400MW
        Jetion      100MW / 100MW
        Trina Solar 350MW / 500MW




21
SEMI半导体产业网
www.semi.org.cn
        China VS. Worldwide Solar Cell
        Production
                                                     China VS Worldwide Solar Cell Production


                   12000

                   10000

                    8000

                    6000

                    4000

                    2000

                         0
                                  2004        2005           2006             2007      2008(E)         2009(F)         2010(F)

                                                       CN Production     WW Installed   WW Production




                                                                       2004              2005                2006                 2007
                                                                                          145                     420             1050
                       CN Production (MW)                               53
                       CN of WW Production (MW)                         5%                9%                  20%                 26%


22   Source: SEMI, Solarbuzz, Glodman Sachs
SEMI半导体产业网
www.semi.org.cn

     Summary
     • China semiconductor fab capacity will continuous grow with the support
       from government in long term.
     • New semiconductor fabs added China will be mainly 200-300mm fabs,
       which will total >83% of total fab capacity by 2010.
     • 300 mm equipment will represent most of the new wafer equipment
       spending in China. Domestic equipment suppliers have started selling
       300mm equipment.
     • Semiconductor equipment and material players benefit from Solar
       Industry.
     • With the demand in solar cell manufacturing, a very large number of
       polysilicon projects are now running in China. Spot market silicon price
       drop about 50% in the past few weeks.




23
SEMI半导体产业网
www.semi.org.cn




                  Thank You!




24

Mais conteúdo relacionado

Mais procurados

Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Yole Developpement
 
半導體產業介紹
半導體產業介紹半導體產業介紹
半導體產業介紹
serrec
 

Mais procurados (20)

從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 
High-end Performance Packaging 2020
High-end Performance Packaging 2020High-end Performance Packaging 2020
High-end Performance Packaging 2020
 
OIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 PresentationOIF CEI-112G at OFC 2020 Presentation
OIF CEI-112G at OFC 2020 Presentation
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
Wafer starts for More than Moore applications 2018 Report by Yole Developpement
Wafer starts for More than Moore applications 2018 Report by Yole Developpement	Wafer starts for More than Moore applications 2018 Report by Yole Developpement
Wafer starts for More than Moore applications 2018 Report by Yole Developpement
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
Resistance switching materials and devices
Resistance switching materials and devicesResistance switching materials and devices
Resistance switching materials and devices
 
The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016 The worldwide semiconductor industry: Trends and opportunities 2016
The worldwide semiconductor industry: Trends and opportunities 2016
 
Fab presentaion
Fab presentaionFab presentaion
Fab presentaion
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1
 
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
 
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
Epitaxy Growth Equipment for More Than Moore Devices Technology and Market Tr...
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
半導體產業介紹
半導體產業介紹半導體產業介紹
半導體產業介紹
 
CMOS SOI Technology
CMOS SOI TechnologyCMOS SOI Technology
CMOS SOI Technology
 
Samsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile MemorySamsung LPDDR5 12GB Mobile Memory
Samsung LPDDR5 12GB Mobile Memory
 
PCB Laminates 101
PCB Laminates 101PCB Laminates 101
PCB Laminates 101
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 

Destaque

THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418
Jos Hogenkamp
 
Data Analysis for Semiconductor Manufacturing
Data Analysis for Semiconductor ManufacturingData Analysis for Semiconductor Manufacturing
Data Analysis for Semiconductor Manufacturing
Puwen Ning
 
SURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGYSURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGY
Subhendra Singh
 

Destaque (20)

From 200 to 300 MM Fabs
From 200 to 300 MM FabsFrom 200 to 300 MM Fabs
From 200 to 300 MM Fabs
 
THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418
 
Top 5 Semiconductor Companies
Top 5 Semiconductor CompaniesTop 5 Semiconductor Companies
Top 5 Semiconductor Companies
 
One stop solution for PCBA SMT manufacturing
One stop solution for PCBA SMT manufacturingOne stop solution for PCBA SMT manufacturing
One stop solution for PCBA SMT manufacturing
 
Data Analysis for Semiconductor Manufacturing
Data Analysis for Semiconductor ManufacturingData Analysis for Semiconductor Manufacturing
Data Analysis for Semiconductor Manufacturing
 
Wafer fab eight discipline report
Wafer fab eight discipline reportWafer fab eight discipline report
Wafer fab eight discipline report
 
Semiconductor Fab Trends and Forecast - June 2013
Semiconductor Fab Trends and Forecast - June 2013Semiconductor Fab Trends and Forecast - June 2013
Semiconductor Fab Trends and Forecast - June 2013
 
Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers
 
market analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companiesmarket analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companies
 
Fundamentals Of Contamination Control
Fundamentals Of Contamination ControlFundamentals Of Contamination Control
Fundamentals Of Contamination Control
 
Introduction to nontraditional machining
Introduction to nontraditional machiningIntroduction to nontraditional machining
Introduction to nontraditional machining
 
contamination control
contamination controlcontamination control
contamination control
 
Surface Mount Technology
 Surface Mount Technology Surface Mount Technology
Surface Mount Technology
 
SUSS MicroTec, Advanced Mask Aligner Lithography - AMALITH
SUSS MicroTec, Advanced Mask Aligner Lithography - AMALITHSUSS MicroTec, Advanced Mask Aligner Lithography - AMALITH
SUSS MicroTec, Advanced Mask Aligner Lithography - AMALITH
 
Fabrication of microelectronic devices
Fabrication of microelectronic devicesFabrication of microelectronic devices
Fabrication of microelectronic devices
 
PCB Process Flow Multilayer Manufacturing Flowchart
PCB Process Flow Multilayer Manufacturing FlowchartPCB Process Flow Multilayer Manufacturing Flowchart
PCB Process Flow Multilayer Manufacturing Flowchart
 
Process of Semiconductor Manufacturing
Process of Semiconductor ManufacturingProcess of Semiconductor Manufacturing
Process of Semiconductor Manufacturing
 
SURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGYSURFACE MOUNT TECHNOLOGY
SURFACE MOUNT TECHNOLOGY
 
Printed Circuit Board Basics
Printed Circuit Board BasicsPrinted Circuit Board Basics
Printed Circuit Board Basics
 
PCB Layout Fundamentals
PCB Layout FundamentalsPCB Layout Fundamentals
PCB Layout Fundamentals
 

Semelhante a China Semiconductor Industry 2009

Hn & Hcm Market Presentation Q4 2009 Final En
Hn & Hcm  Market Presentation Q4 2009 Final   EnHn & Hcm  Market Presentation Q4 2009 Final   En
Hn & Hcm Market Presentation Q4 2009 Final En
savillsvietnam
 
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area RussiaCMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
Tele2
 
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and InnovationsJune 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
nagiliti
 
Embraer day 2011_ny_ds(1)
Embraer day 2011_ny_ds(1)Embraer day 2011_ny_ds(1)
Embraer day 2011_ny_ds(1)
Embraer RI
 
Embraer Day NY 2011 - Defense and Security
Embraer Day NY 2011 - Defense and SecurityEmbraer Day NY 2011 - Defense and Security
Embraer Day NY 2011 - Defense and Security
Embraer RI
 
Strix Applications Case Studies
Strix  Applications  Case StudiesStrix  Applications  Case Studies
Strix Applications Case Studies
tritv
 

Semelhante a China Semiconductor Industry 2009 (20)

2011 Annual Report Corporate Section
2011 Annual Report Corporate Section2011 Annual Report Corporate Section
2011 Annual Report Corporate Section
 
LinkedIn's Q3 Earnings Call
LinkedIn's Q3 Earnings CallLinkedIn's Q3 Earnings Call
LinkedIn's Q3 Earnings Call
 
Hn & Hcm Market Presentation Q4 2009 Final En
Hn & Hcm  Market Presentation Q4 2009 Final   EnHn & Hcm  Market Presentation Q4 2009 Final   En
Hn & Hcm Market Presentation Q4 2009 Final En
 
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area RussiaCMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
CMD2012 - vetlana Skvortsova - Inessa Galaktionova - Market Area Russia
 
Intel 2008 Annual Report
Intel 2008 Annual ReportIntel 2008 Annual Report
Intel 2008 Annual Report
 
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and InnovationsJune 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
June 2011 ExecuTALK: Adnon Dow - AVAYA VP on Mobility Trends and Innovations
 
EVS full year 2011 earnings presentation
EVS full year 2011 earnings presentationEVS full year 2011 earnings presentation
EVS full year 2011 earnings presentation
 
Embraer day 2011_ny_ds(1)
Embraer day 2011_ny_ds(1)Embraer day 2011_ny_ds(1)
Embraer day 2011_ny_ds(1)
 
Embraer Day NY 2011 - Defense and Security
Embraer Day NY 2011 - Defense and SecurityEmbraer Day NY 2011 - Defense and Security
Embraer Day NY 2011 - Defense and Security
 
Hcs 영문
Hcs 영문Hcs 영문
Hcs 영문
 
Goldman Sachs Conference London
Goldman Sachs Conference LondonGoldman Sachs Conference London
Goldman Sachs Conference London
 
2분기 영문 캐피탈ir자료
2분기 영문 캐피탈ir자료2분기 영문 캐피탈ir자료
2분기 영문 캐피탈ir자료
 
CS Conference 2012
CS Conference 2012CS Conference 2012
CS Conference 2012
 
China Online Retail Market - iResearch - Will Tao
China Online Retail Market -  iResearch - Will TaoChina Online Retail Market -  iResearch - Will Tao
China Online Retail Market - iResearch - Will Tao
 
LinkedIn’s Q4 2011 Earnings Announcement
LinkedIn’s Q4 2011 Earnings AnnouncementLinkedIn’s Q4 2011 Earnings Announcement
LinkedIn’s Q4 2011 Earnings Announcement
 
Presentacia Final
Presentacia FinalPresentacia Final
Presentacia Final
 
Strix Applications Case Studies
Strix  Applications  Case StudiesStrix  Applications  Case Studies
Strix Applications Case Studies
 
Earnings Release 2Q12
Earnings Release 2Q12Earnings Release 2Q12
Earnings Release 2Q12
 
UNIX to SUSE Linux Enterprise Server : Tools and Tips for a Successful Migration
UNIX to SUSE Linux Enterprise Server : Tools and Tips for a Successful MigrationUNIX to SUSE Linux Enterprise Server : Tools and Tips for a Successful Migration
UNIX to SUSE Linux Enterprise Server : Tools and Tips for a Successful Migration
 
WEG Q2 2012 Conference Call
WEG Q2 2012 Conference CallWEG Q2 2012 Conference Call
WEG Q2 2012 Conference Call
 

Mais de Dmitry Tseitlin

Постановление Правительства "О реализации национальной технологической иниц...
Постановление Правительства "О реализации национальной технологической иниц...Постановление Правительства "О реализации национальной технологической иниц...
Постановление Правительства "О реализации национальной технологической иниц...
Dmitry Tseitlin
 
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
Dmitry Tseitlin
 
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
Dmitry Tseitlin
 

Mais de Dmitry Tseitlin (20)

Ton Tlegram Open Network
Ton Tlegram Open NetworkTon Tlegram Open Network
Ton Tlegram Open Network
 
Marinet en 2017
Marinet en 2017Marinet en 2017
Marinet en 2017
 
State of innovation Thomson Reuters 2016
State of innovation Thomson Reuters 2016 State of innovation Thomson Reuters 2016
State of innovation Thomson Reuters 2016
 
Clarity from above PWC 2016
Clarity from above PWC 2016Clarity from above PWC 2016
Clarity from above PWC 2016
 
Отчет Фонд Сколково 25 апреля 2011
Отчет Фонд Сколково 25 апреля 2011Отчет Фонд Сколково 25 апреля 2011
Отчет Фонд Сколково 25 апреля 2011
 
Technology roadmap highlights_report 2015
Technology roadmap highlights_report 2015Technology roadmap highlights_report 2015
Technology roadmap highlights_report 2015
 
IGS Low cost access to space April 2016
IGS Low cost access to space April 2016IGS Low cost access to space April 2016
IGS Low cost access to space April 2016
 
Satellite technologies in UK agriculture 2015
Satellite technologies in UK agriculture 2015Satellite technologies in UK agriculture 2015
Satellite technologies in UK agriculture 2015
 
A Roadmap to Interstellar Flight Philip Lubin Physics Dept, UC Santa Barbara ...
A Roadmap to Interstellar Flight Philip Lubin Physics Dept, UC Santa Barbara ...A Roadmap to Interstellar Flight Philip Lubin Physics Dept, UC Santa Barbara ...
A Roadmap to Interstellar Flight Philip Lubin Physics Dept, UC Santa Barbara ...
 
Постановление Правительства "О реализации национальной технологической иниц...
Постановление Правительства "О реализации национальной технологической иниц...Постановление Правительства "О реализации национальной технологической иниц...
Постановление Правительства "О реализации национальной технологической иниц...
 
ESA - 2013 space transportation
ESA - 2013 space transportation ESA - 2013 space transportation
ESA - 2013 space transportation
 
Результаты РФФИ 2015
Результаты РФФИ 2015 Результаты РФФИ 2015
Результаты РФФИ 2015
 
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
Cоздание сверхтяжелых РН для исследования и освоения Луны и Марса - прошлое, ...
 
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
Ракета космического назначения «Зенит 3SL» для программы «Морской Старт» В.М...
 
Space Works Nano Microsatellite Market forecast 2016
Space Works Nano Microsatellite Market forecast 2016Space Works Nano Microsatellite Market forecast 2016
Space Works Nano Microsatellite Market forecast 2016
 
WIPO Global Innovation Index 2015
WIPO Global Innovation Index 2015WIPO Global Innovation Index 2015
WIPO Global Innovation Index 2015
 
Agritech Funder Investing report 2015
Agritech Funder Investing report 2015Agritech Funder Investing report 2015
Agritech Funder Investing report 2015
 
High technology entrepreneurs and the patent system. 2008 Berkeley
High technology entrepreneurs and the patent system. 2008 BerkeleyHigh technology entrepreneurs and the patent system. 2008 Berkeley
High technology entrepreneurs and the patent system. 2008 Berkeley
 
Start-up Space Tauri Group 2016 -
Start-up Space Tauri Group 2016 -Start-up Space Tauri Group 2016 -
Start-up Space Tauri Group 2016 -
 
NASA_CCO_status-2013 update
NASA_CCO_status-2013 updateNASA_CCO_status-2013 update
NASA_CCO_status-2013 update
 

Último

0183760ssssssssssssssssssssssssssss00101011 (27).pdf
0183760ssssssssssssssssssssssssssss00101011 (27).pdf0183760ssssssssssssssssssssssssssss00101011 (27).pdf
0183760ssssssssssssssssssssssssssss00101011 (27).pdf
Renandantas16
 
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
amitlee9823
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
lizamodels9
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
dollysharma2066
 
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service BangaloreCall Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
amitlee9823
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
amitlee9823
 

Último (20)

Mondelez State of Snacking and Future Trends 2023
Mondelez State of Snacking and Future Trends 2023Mondelez State of Snacking and Future Trends 2023
Mondelez State of Snacking and Future Trends 2023
 
0183760ssssssssssssssssssssssssssss00101011 (27).pdf
0183760ssssssssssssssssssssssssssss00101011 (27).pdf0183760ssssssssssssssssssssssssssss00101011 (27).pdf
0183760ssssssssssssssssssssssssssss00101011 (27).pdf
 
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
Call Girls Electronic City Just Call 👗 7737669865 👗 Top Class Call Girl Servi...
 
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
Call Girls From Pari Chowk Greater Noida ❤️8448577510 ⊹Best Escorts Service I...
 
Uneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration PresentationUneak White's Personal Brand Exploration Presentation
Uneak White's Personal Brand Exploration Presentation
 
It will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 MayIt will be International Nurses' Day on 12 May
It will be International Nurses' Day on 12 May
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service BangaloreCall Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
Call Girls Hebbal Just Call 👗 7737669865 👗 Top Class Call Girl Service Bangalore
 
Call Girls Pune Just Call 9907093804 Top Class Call Girl Service Available
Call Girls Pune Just Call 9907093804 Top Class Call Girl Service AvailableCall Girls Pune Just Call 9907093804 Top Class Call Girl Service Available
Call Girls Pune Just Call 9907093804 Top Class Call Girl Service Available
 
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRLBAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
BAGALUR CALL GIRL IN 98274*61493 ❤CALL GIRLS IN ESCORT SERVICE❤CALL GIRL
 
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdfDr. Admir Softic_ presentation_Green Club_ENG.pdf
Dr. Admir Softic_ presentation_Green Club_ENG.pdf
 
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
👉Chandigarh Call Girls 👉9878799926👉Just Call👉Chandigarh Call Girl In Chandiga...
 
A DAY IN THE LIFE OF A SALESMAN / WOMAN
A DAY IN THE LIFE OF A  SALESMAN / WOMANA DAY IN THE LIFE OF A  SALESMAN / WOMAN
A DAY IN THE LIFE OF A SALESMAN / WOMAN
 
Phases of Negotiation .pptx
 Phases of Negotiation .pptx Phases of Negotiation .pptx
Phases of Negotiation .pptx
 
Organizational Transformation Lead with Culture
Organizational Transformation Lead with CultureOrganizational Transformation Lead with Culture
Organizational Transformation Lead with Culture
 
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
Call Girls Jp Nagar Just Call 👗 7737669865 👗 Top Class Call Girl Service Bang...
 
Call Girls In Panjim North Goa 9971646499 Genuine Service
Call Girls In Panjim North Goa 9971646499 Genuine ServiceCall Girls In Panjim North Goa 9971646499 Genuine Service
Call Girls In Panjim North Goa 9971646499 Genuine Service
 
Business Model Canvas (BMC)- A new venture concept
Business Model Canvas (BMC)-  A new venture conceptBusiness Model Canvas (BMC)-  A new venture concept
Business Model Canvas (BMC)- A new venture concept
 
Mysore Call Girls 8617370543 WhatsApp Number 24x7 Best Services
Mysore Call Girls 8617370543 WhatsApp Number 24x7 Best ServicesMysore Call Girls 8617370543 WhatsApp Number 24x7 Best Services
Mysore Call Girls 8617370543 WhatsApp Number 24x7 Best Services
 
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
The Path to Product Excellence: Avoiding Common Pitfalls and Enhancing Commun...
 

China Semiconductor Industry 2009

  • 1. SEMI半导体产业网 www.semi.org.cn China Semiconductor and PV Market Overview Lily Feng Manager, Industry Research & Statistics SEMI China lifeng@semi.org SEMICON Japan Dec 02, 2008 1
  • 2. SEMI半导体产业网 www.semi.org.cn Agenda • China Semiconductor Fab Equipment and Material Market – China Semiconductor Fab Market – China Semiconductor Fab Material Market – China Semiconductor Fab Equipment Market – China Parts and Spares Market • China PV Market • Summary 2
  • 3. SEMI半导体产业网 www.semi.org.cn China Annual GDP Growth Rate (2000 – 2009) 3 Source: IQTE (Institute of Quantitative & Technical Economics )
  • 4. SEMI半导体产业网 www.semi.org.cn Market Drivers & Supply Chain: The Local Supply-Demand Gap Drives “Silicon Rush” in China China IC Market Demand vs. Domestic IC Supply in 2000-2010 8% 120 102 Portion of Domestic Supply 7% 100 China IC Market (US$B) 91 85 6% 75 80 5% 57 4% 60 48 42.9 3% 34.4 40 27.6 2% 20 20 11 1% 6.0 5.3 5.2 5.1 3.9 2.806 2.184 0.426 0.497 0.574 0.729 0% 0 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 CN Total IC market Demand CN Domestic Supply Domestic Supply of Total Demand(%) Source: CSIA, iSuppli, IC Insights, SEMI Industry Research and Statistics, Oct 2008 4
  • 5. SEMI半导体产业网 www.semi.org.cn Government is the Biggest Investor • Semiconductor Investment: – Government co-invested in projects with a total of $6.6B in the past 5 years – Government is likely to invest total of over $20B in the next 5 years • Suzhou, Wuxi, Shangdong, Shanghai, Shenzhen, Dalian – Central Government will put $30B in semiconductor (semiconductor equipment and material are included) and software industry from 2008 to 2020 5
  • 6. SEMI半导体产业网 www.semi.org.cn Semiconductor Wafer Fabs in China Legend SMIC Fab 4 300 mm SMIC Fab 7 200 mm JSMC Fab-x 150 mm Site in production or SMIC Fab 5 300 mm * ramp SMIC Fab 6 Backend Site under construction SGNEC Fab 1 150 mm * Site equipping CSMC-IMECAS 150 mm Site in plan City of population >7M City of population <7M Capital Jiling Shenyang Nantong GMIC Fab 1 200 mm * Wuxi Dalian Beijing Hynix-ST Fab C1 200 mm Intel Fab 68 300 mm Hynix-ST Fab C1 300 mm Hynix-ST Fab C2 300 mm * Tianjing Suzhou Hejian Fab 1 200 mm CSMC Fab 1 150 mm Hejian Fab 2 300 mm CSMC Fab 2 200 mm * PowerChip 200 mm CSWC Fab 2 150 mm Kushan IC Spectrum 200 mm Anadigics 150 mm Xiyue Fab 1 150 mm Xian WXIC Fab 1 300 mm * Cension Fab 1 200 mm Shanghai Chengdu Chongqin Wuhan ProMOS Fab x 200 mm * ProMOS Fab X 300 mm Leshan SMIC Fab 1 200 mm SMIC Fab 2 200 mm SMIC Fab 3 Backend Fuzhou LPSC Fab 1 150 mm GSMC Fab 1 200 mm GSMC Fab 2 200 mm GSMC Fab 3 300 mm Hangzhou Silan Fab 2 150 mm HHNEC Fab 1 200 mm Guangzhou Ningbo SinoMOS Fab 1 150 mm HHNEC Fab 2 200 mm Hong Kong HHNEC Fab 1C 200 mm HHNEC Fab x 300 mm Fushun Microelectronics Fab 2 150 mm ASMC Fab 2 150 mm ASMC Fab 3 200 mm ASMC Fab 4 200 mm BCD Fab 1 150 mm BCD Fab 2 200 mm Zhuhai ACSMC Fab 1 150 mm TSMC Fab 10 200 mm Shenzhen Founder Electronics 150 mm Belling Fab 2 150 mm Founder Electronics 150 mm SMIC Fab 8 300 mm SMIC Fab X 300 mm SMIC Fab 9 CIS SMIC Fab X 200 mm SMIC Fab 10 Photo.V 6 Source: SEMI (April 2008)
  • 7. SEMI半导体产业网 www.semi.org.cn China Fab Capacity by Wafer Size Fab Capacity in China by Wafer Size 1600 1400 K wpm (in 200mm wafer equivalent) 1200 1000 800 600 400 200 0 2001 2002 2003 2004 2005 2006 2007 2008(F) 2009(F) 2010(F) 300mm Capacity 200mm Capacity 150mm Capacity 125mm Capacity (k wpm in 200mm wafer equivalent, 2001-2010 7 Source: SEMI Industry Research and Statistics, Nov. 2008
  • 8. SEMI半导体产业网 www.semi.org.cn Fab Material Break Down in China Market Fab Material Break Dow n in China Market (US$ Million) 1,600 1,400 1,200 1,000 800 600 400 200 0 2003 2004 2005 2006 2007 2008 (F) 2009 (F) 2010 (F) Silicon Photomasks Photoresists Photoresist Ancilliaries Gases Wet Chemicals Targets CMP Other 8 Source: SEMI Industry Research and Statistics, Nov.2008
  • 9. SEMI半导体产业网 www.semi.org.cn Wafer Fab Process Equipment Spending in China Wafer Processing Equipment Spending in China 3000 120% % of New Eq. Spending on 300mm 2500 100% 2000 80% US$ Million 1500 60% 1000 40% 500 20% 0 0% 2000 2001 2002 2003 2004 2005 2006 2007 2008(F) 2009(F) 2010(F) New Wafer Process Equipment Total Wafer Process Equipment 300mm Tools (% of New Equipment) Source: SEMI Industry Research and Statistics, Nov.2008 9
  • 10. SEMI半导体产业网 www.semi.org.cn Category of Domestic Fab Equipment Supplier • Traditional Fab Equipment Supplier – Research Institution Rooted – State Owned Company • New Fab Equipment Supplier – Experienced Overseas Returnee – Owner of Key Government Project 10
  • 11. SEMI半导体产业网 www.semi.org.cn Domestic Fab Equipment Supplier Profile -- Differentiation between Two Types of Supplier Traditional Differentiation New Supplier Supplier Company Size ~1000 people ~200 people Master & PhD<=10% / 50%>=Master / Talent Low labor cost High labor cost Capital & Revenue Self-Sufficiency Funding/VC/Loan Target Market Mainland-China Global Market 100-200mm/ 200-300mm/ Technology >=0.25um <=100nm Self-Developed/ IP Collaboration Self-Developed/License 11 Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
  • 12. SEMI半导体产业网 www.semi.org.cn Domestic Fab Equipment Suppliers Overview Products Segment Company Exposure & Write Equipment SMEE CECT 45 CECT 48 AdvanTools SevenStar SIAYUAN Photoresist Processing Equipment AMEC NMC Sevenstar CETC 48 Etch Equipment SevenStar CETC 45 SIAYUAN Surface Conditioning Equipment SevenStar CETC 48 Thermal Process Equipment ZKX CETC 48 Ion Implant Equipment Thin Film Deposition Equipment AMEC NMC SKY (CVD/Sputter/Electroplating/electro deposition) Inspection & Measurement Equipment 2 Start-up CMP Equipment CETC 48 CETC 45 ACM Other Wafer Processing (OWP) Wafer Manufacturing LZR XAUT JYT JingYi 12 Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
  • 13. SEMI半导体产业网 www.semi.org.cn China Leading Domestic Supplers Sales Break Down 2005 2006 2007 2008(E) Semiconductor Equipment Growth Ratio 21% 38% 32% 1% Solar Equipment Growth Ratio 9% 52% 75% 57% Total Growth Ratio 16% 42% 40% 50% 13 Source: “China Domestic Fab Equipment Suppliers” 2008 June, SEMI
  • 14. SEMI半导体产业网 www.semi.org.cn China Spare Parts Market Driving Force • Local Manufacturing Capability – Flow Control – Power Supply – Quartz – Ceramic – Vacuum – Machining – Material Handling • Driving Force – Fab – Local Equipment Supplier – Oversea Equipment OEM – Used Equipment Supplier 14
  • 15. SEMI半导体产业网 www.semi.org.cn Localization Case Study Locally Imported Localized Parts and manufactured Subsystem Category Cost Volume Cost Volume Machining System 90% 7% 10% 93% Mechanical System <10% >90% >90% <10% Electronical System 29% 68% 71% 32% Control Software - - 100% 100% 15
  • 16. SEMI半导体产业网 www.semi.org.cn Challenge VS. Opportunity • Management Mind Set • Standard Operation Process - Consistency • Technology Challenge – Special Processes – Raw Material (Aluminium /Ceramic /Quartz /Nickel) • Intellectual Property 16
  • 17. SEMI半导体产业网 www.semi.org.cn Assembly Plants in China 17
  • 18. SEMI半导体产业网 www.semi.org.cn Agenda • China Semiconductor Fab Equipment and Material Market – China Semiconductor Fab Market – China Semiconductor Fab Material Market – China Semiconductor Fab Equipment Market – China Parts and Spares Market • China PV Market • Summary 18
  • 19. SEMI半导体产业网 www.semi.org.cn Polysilicon Project in China Linzhou Renesola JV 210T Luoyang China Silicon 900T Xining Asia Silicon 0T Xuzhou Zhong Neng(GCL) 1500T Yangzhou Shunda 50T YaAn YongWang 50T Shanghai Lengguang 45T E`mei 350T Leshan Xinguang 800T Leshan Yongxiang 250T Wuxi Zhongcai 280T Leshan Dongqi 50T Xinyu LDK Solar 15- Chongqing Daco group 400T 25T Yichang Nanbo(CSG) 30T 19 Source: SEMI
  • 20. SEMI半导体产业网 www.semi.org.cn China Solar Grade Silicon Demand & Supply 20 Source: SEMI, Company Report, Solarbuzz
  • 21. SEMI半导体产业网 www.semi.org.cn Solar Cell Manufacturers in China 2008 / 2009 Yingli 400MW / 600MW Jing Ao 600MW / 1000MW 2008 / 2009 Suntech 1000MW / 1000MW SolarFun 360MW / 420MW China Energy 320MW / 480MW CSI 270MW / 400MW Jetion 100MW / 100MW Trina Solar 350MW / 500MW 21
  • 22. SEMI半导体产业网 www.semi.org.cn China VS. Worldwide Solar Cell Production China VS Worldwide Solar Cell Production 12000 10000 8000 6000 4000 2000 0 2004 2005 2006 2007 2008(E) 2009(F) 2010(F) CN Production WW Installed WW Production 2004 2005 2006 2007 145 420 1050 CN Production (MW) 53 CN of WW Production (MW) 5% 9% 20% 26% 22 Source: SEMI, Solarbuzz, Glodman Sachs
  • 23. SEMI半导体产业网 www.semi.org.cn Summary • China semiconductor fab capacity will continuous grow with the support from government in long term. • New semiconductor fabs added China will be mainly 200-300mm fabs, which will total >83% of total fab capacity by 2010. • 300 mm equipment will represent most of the new wafer equipment spending in China. Domestic equipment suppliers have started selling 300mm equipment. • Semiconductor equipment and material players benefit from Solar Industry. • With the demand in solar cell manufacturing, a very large number of polysilicon projects are now running in China. Spot market silicon price drop about 50% in the past few weeks. 23