SlideShare uma empresa Scribd logo
1 de 12
Baixar para ler offline
An FPGA-Based IEEE
1149.1 BST Controller

HiBu | DDIS3010 | josemmf@hibu.no

    Digitale Systemer 2012/13
        [ November 2012 ]
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   2 / 12




Outline of this presentation
●   What is the deliverable of this work?
●   Main functional features
●   Processor architecture and instruction set
●   Test workflow
●   Main challenges
●   Can we go further?
●   How do I start?
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   3 / 12




Envisaged deliverable
Overall block diagram:
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   4 / 12




Main functional features
●   Support at least one Test Access Port (TAP)
●   Accept "SVF-like" commands
●   Receive the test code serially via RS-232C
●   One "Run test" push button
●   One "Pass" led, one "Fail" led
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   5 / 12

  Test processor
  block diagram




[ you can choose the values of CLmax and TVmax bits ]
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   6 / 12




Source code
Minimum set of "SVF-like" commands:
 Command         Description
 RESET           Sets the boundary-scan logic (TAP controller FSM) in Test-Logic-Reset
 TMS 0 / 1       Sets TMS to 0 / 1 and applies one clock pulse to TCK
 SHF N X         Shifts an N-bit sequence (X) into the [instruction | selected data] register
 SHFCP N X,Y,Z   Shifts an N-bit sequence (X) into the [instruction | selected data] register and
                 compares the output sequence with its expected response (Y) using the given
                 mask information (Z)
 RUNTEST N       Sets TMS to 0 and applies N [16-bit value] clock pulses to TCK
 EOP             End-of-program (not a real command; marks the end of the object code)
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   7 / 12




Source code > Object code
Use the TASM shareware cross-assembler to
generate the object code:
       MNEM. ARGS. OPCODE       BYTES   MODOP. CLASS
       -----------------------------------------------
       RESET    ""    A1         01    NOTOUCH   1
       TMS       *    A2         02    NOTOUCH   1
       SHF       *    A3         02    NOTOUCH   1
       SHFCP     *    A4         02    NOTOUCH   1
       RUNTEST   *    A5         03    SWAP
       EOP      ""    454F50     03    NOTOUCH   1


(visit http://home.comcast.net/~tasm/ for +info)
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   8 / 12




Test workflow
● Produce the source code in SVF-like format
● Compile to Intel Hex object code via TASM
● Send the object code to the test processor
  memory via RS-232C
● Use the "Run" button to execute the test and
  the leds to check the result
Write the source     ➨ Generate     ➨ Send the object file (*.obj)   ➨ Run the test
code (*.asm) using     the object     to the Avnet board via           (press Run or send
notepad++              code           RS-232C                          command via RS-
                       (tasm –XX                                       232C)
                       name.asm)
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   9 / 12




Challenges vs. presentations so far
● Develop the VHDL model for the test
  processor -- recall: design of FSMD (Morten
  and Hakon), the Xilinx ISE tools (Gunnar
  and John), and ModelSim (Alex and Per)
● Adapt existing models for the UART (Alex
  and Per) and Xilinx specific memory (Bard
  and Gunnar)
● Integrate, verify via simulation, validate via
  the Avnet board (John and Bard)
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   10 / 12




Going further
● Enable a "step mode" to run the test (one
  command at a time)
● Support two TAPs instead of just one
  (enabling test actions simultaneously in both
  BS chains?)
● Support control and observation of parallel
  I/O pins in the edge connectors
● Use the LCD to display test information
  (Morten and Hakon)
● What else?...
[ josemmf@hibu.no | DDIS-3010 2012/13 ]   11 / 12




How do I start? [one possible way]
● Make sure that you understand how the test
  processor architecture works (draw the state
  diagrams for executing each instruction)
● Sketch the hardware structures that enable
  the implementation of each block (e.g. how
  do you implement the Program Counter?)
● Code and simulate each structure in VHDL
● Integrate and extend validation to the whole
  test processor architecture
● Integrate with RS-232C and memory...
Thanks for your attention!

 HiBu | DDIS3010 | josemmf@hibu.no

     Digitale Systemer 2012/13
         [ November 2012 ]

Mais conteúdo relacionado

Destaque (9)

REV2009
REV2009REV2009
REV2009
 
Guide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEPGuide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEP
 
Adelaide Jan 2009
Adelaide Jan 2009Adelaide Jan 2009
Adelaide Jan 2009
 
Vietnam War Revised
Vietnam War RevisedVietnam War Revised
Vietnam War Revised
 
Irsc Meeting Slides
Irsc Meeting SlidesIrsc Meeting Slides
Irsc Meeting Slides
 
Tweeting And Texting
Tweeting And TextingTweeting And Texting
Tweeting And Texting
 
Twitter Talking Tech2
Twitter Talking Tech2Twitter Talking Tech2
Twitter Talking Tech2
 
REV2010
REV2010REV2010
REV2010
 
On using BS to improve the
On using BS to improve theOn using BS to improve the
On using BS to improve the
 

Semelhante a Ddis3010 course work_spec_slides

Track c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eveTrack c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eve
chiportal
 
20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris
imec.archive
 
Part III: Assembly Language
Part III: Assembly LanguagePart III: Assembly Language
Part III: Assembly Language
Ahmed M. Abed
 

Semelhante a Ddis3010 course work_spec_slides (20)

SDAccel Design Contest: Xilinx SDAccel
SDAccel Design Contest: Xilinx SDAccel SDAccel Design Contest: Xilinx SDAccel
SDAccel Design Contest: Xilinx SDAccel
 
Module_01.ppt
Module_01.pptModule_01.ppt
Module_01.ppt
 
PoC Oracle Exadata - Retour d'expérience
PoC Oracle Exadata - Retour d'expériencePoC Oracle Exadata - Retour d'expérience
PoC Oracle Exadata - Retour d'expérience
 
Track c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eveTrack c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eve
 
digitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdfdigitaldesign-s20-lecture3b-fpga-afterlecture.pdf
digitaldesign-s20-lecture3b-fpga-afterlecture.pdf
 
20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris
 
Dsp lab manual 15 11-2016
Dsp lab manual 15 11-2016Dsp lab manual 15 11-2016
Dsp lab manual 15 11-2016
 
What’s new in 9.6, by PostgreSQL contributor
What’s new in 9.6, by PostgreSQL contributorWhat’s new in 9.6, by PostgreSQL contributor
What’s new in 9.6, by PostgreSQL contributor
 
Erlang/OTP in Riak
Erlang/OTP in RiakErlang/OTP in Riak
Erlang/OTP in Riak
 
Joel Falcou, Boost.SIMD
Joel Falcou, Boost.SIMDJoel Falcou, Boost.SIMD
Joel Falcou, Boost.SIMD
 
In Depth Constructive Cost Modeling related slides
In Depth Constructive Cost Modeling related slidesIn Depth Constructive Cost Modeling related slides
In Depth Constructive Cost Modeling related slides
 
Andes open cl for RISC-V
Andes open cl for RISC-VAndes open cl for RISC-V
Andes open cl for RISC-V
 
Programmable Exascale Supercomputer
Programmable Exascale SupercomputerProgrammable Exascale Supercomputer
Programmable Exascale Supercomputer
 
IPmux ws 4.00.ppt
IPmux ws 4.00.pptIPmux ws 4.00.ppt
IPmux ws 4.00.ppt
 
QEMU - Binary Translation
QEMU - Binary Translation QEMU - Binary Translation
QEMU - Binary Translation
 
Performance tests with gatling
Performance tests with gatlingPerformance tests with gatling
Performance tests with gatling
 
Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)Basics of digital verilog design(alok singh kanpur)
Basics of digital verilog design(alok singh kanpur)
 
Part III: Assembly Language
Part III: Assembly LanguagePart III: Assembly Language
Part III: Assembly Language
 
Basic structure of computers by aniket bhute
Basic structure of computers by aniket bhuteBasic structure of computers by aniket bhute
Basic structure of computers by aniket bhute
 
Dpdk applications
Dpdk applicationsDpdk applications
Dpdk applications
 

Último

Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
PECB
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
fonyou31
 

Último (20)

General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
A Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy ReformA Critique of the Proposed National Education Policy Reform
A Critique of the Proposed National Education Policy Reform
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdf
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
 

Ddis3010 course work_spec_slides

  • 1. An FPGA-Based IEEE 1149.1 BST Controller HiBu | DDIS3010 | josemmf@hibu.no Digitale Systemer 2012/13 [ November 2012 ]
  • 2. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 2 / 12 Outline of this presentation ● What is the deliverable of this work? ● Main functional features ● Processor architecture and instruction set ● Test workflow ● Main challenges ● Can we go further? ● How do I start?
  • 3. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 3 / 12 Envisaged deliverable Overall block diagram:
  • 4. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 4 / 12 Main functional features ● Support at least one Test Access Port (TAP) ● Accept "SVF-like" commands ● Receive the test code serially via RS-232C ● One "Run test" push button ● One "Pass" led, one "Fail" led
  • 5. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 5 / 12 Test processor block diagram [ you can choose the values of CLmax and TVmax bits ]
  • 6. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 6 / 12 Source code Minimum set of "SVF-like" commands: Command Description RESET Sets the boundary-scan logic (TAP controller FSM) in Test-Logic-Reset TMS 0 / 1 Sets TMS to 0 / 1 and applies one clock pulse to TCK SHF N X Shifts an N-bit sequence (X) into the [instruction | selected data] register SHFCP N X,Y,Z Shifts an N-bit sequence (X) into the [instruction | selected data] register and compares the output sequence with its expected response (Y) using the given mask information (Z) RUNTEST N Sets TMS to 0 and applies N [16-bit value] clock pulses to TCK EOP End-of-program (not a real command; marks the end of the object code)
  • 7. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 7 / 12 Source code > Object code Use the TASM shareware cross-assembler to generate the object code: MNEM. ARGS. OPCODE BYTES MODOP. CLASS ----------------------------------------------- RESET "" A1 01 NOTOUCH 1 TMS * A2 02 NOTOUCH 1 SHF * A3 02 NOTOUCH 1 SHFCP * A4 02 NOTOUCH 1 RUNTEST * A5 03 SWAP EOP "" 454F50 03 NOTOUCH 1 (visit http://home.comcast.net/~tasm/ for +info)
  • 8. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 8 / 12 Test workflow ● Produce the source code in SVF-like format ● Compile to Intel Hex object code via TASM ● Send the object code to the test processor memory via RS-232C ● Use the "Run" button to execute the test and the leds to check the result Write the source ➨ Generate ➨ Send the object file (*.obj) ➨ Run the test code (*.asm) using the object to the Avnet board via (press Run or send notepad++ code RS-232C command via RS- (tasm –XX 232C) name.asm)
  • 9. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 9 / 12 Challenges vs. presentations so far ● Develop the VHDL model for the test processor -- recall: design of FSMD (Morten and Hakon), the Xilinx ISE tools (Gunnar and John), and ModelSim (Alex and Per) ● Adapt existing models for the UART (Alex and Per) and Xilinx specific memory (Bard and Gunnar) ● Integrate, verify via simulation, validate via the Avnet board (John and Bard)
  • 10. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 10 / 12 Going further ● Enable a "step mode" to run the test (one command at a time) ● Support two TAPs instead of just one (enabling test actions simultaneously in both BS chains?) ● Support control and observation of parallel I/O pins in the edge connectors ● Use the LCD to display test information (Morten and Hakon) ● What else?...
  • 11. [ josemmf@hibu.no | DDIS-3010 2012/13 ] 11 / 12 How do I start? [one possible way] ● Make sure that you understand how the test processor architecture works (draw the state diagrams for executing each instruction) ● Sketch the hardware structures that enable the implementation of each block (e.g. how do you implement the Program Counter?) ● Code and simulate each structure in VHDL ● Integrate and extend validation to the whole test processor architecture ● Integrate with RS-232C and memory...
  • 12. Thanks for your attention! HiBu | DDIS3010 | josemmf@hibu.no Digitale Systemer 2012/13 [ November 2012 ]