SlideShare uma empresa Scribd logo
1 de 5
Baixar para ler offline
VLSI MINI PROJECT LIST (VHDL/VERILOG)



S.NO                                   PROJECT TITLES
 1     Design Of Kogge-Stone Adder
 2
       Design Of (9,7 ) Using 1D-Dwt Lifting Scheme
 3
       Design of 16 Bit Spanning Tree Carry Look Ahead Adder
 4
       Design of 16 Point Radix-4 FFT (Fast Fourier Transform) Algorithm
 5
       Design of ATM (Automated Teller Machine)
 6
       A Spurious Power Suppression Technique for Multimedia/ DSP Applications
 7
       Design Carry Select Adder
 8
       Design of Dual Elevator Controller
 9
       Design of RCEAT for RFID Tag
 10
       Design of 16 Bit Ripple Carry Adder
 11
       Design of Dual Port SRAM
 12
       Multiplication Acceleration through Twin Precision
 13
       Design of Vending Machine

 14
       Design of Complex Number Multiplier Using Booth Algorithm
 15
       Design of Open Core Protocol (OCP) Ip Block
 16
       Design of Single Precision Floating Point Multiplier
17
     Design and Implementation Of 8 Point FFT
18
     Design and Implementation Of 8 Point IFFT
19
     Design of Digital Clock
20
     Design of AMBA-AHB Protocol
21
     Design of DDRSD RAM Controller
22   Implementation of Traffic Light Controller

23   Implementation of Dual Port Ram
24   Implementation of Universal Asynchronous Receiver/Transmitter (UART).
25   Implementation of 32-Bit Carry Look Ahead Adders For Fast Addition
26   Implementation of 32-Bit Carry Save Adders For Fast Addition
27   Implementation of 32-Bit Pseudo Random Test Pattern Generator
28   Implementation of Mealy Type And Moore Type Serial Adders

29   Implementation of 32-Bit Braun Multipliers
30   Implementation of Matrix Multiplication
31   Implementation of Cyclic Redundancy Check

32   Implementation of 16-Bit Hamming Code Encoder And Decoder For Single Bit Error
     Detector And Corrector
33   Implementation of Sequence Detector Using Finite State Machines
34   Implementation of 32-Bit Wallace Tree Multipliers
36   Implementation of 8-Bit ALU
37   Implementation of Fir Filter
38   Implementation of 16-Bit Conditional Sum Adder And Parallel Prefix Adders For
     Fast Addition
39
     Implementation of Seven Segment Display and Code Converters
40   An Efficient Architecture For 2-D Discrete Wavelet Transform.
41   Discrete Wavelet Transform Using Lifting Scheme

42   Real Time Design of Car Light Indicator

43   An Interface Between On Chip and Off Chip

44   An Efficient Linear Convolution for DSP Applications

45   Design of Traffic Light Controller Using VHDL

46   Image Compression Standards for DSP Applications (VERILOG)

47   Low Power Multiplier Using Ancient Mathematics

48   Implementation of Hamming Code Using Verilog HDL

49   Design Of Radix-2 Butterfly Processor To Prevent Overflow In The Arithmetic

50   An   Efficient   Architecture For 3-D     Discrete     Wavelet   Transform.

51   Implementation Of Guessing Game Using VHDL

52   Orthogonal Frequency Division Multiplexing Using FFT/IFFT Blocks.

53   High-Accuracy Fixed-Width Modified Booth Multipliers For Lossy Applications
     Design Of An Advanced High Performance Bus               For Real Time Internal Bus
54
     Architecture

55   High-Performance Controller For Memory Processing.

56   A High Speed Controller Between Memory And Processer

57   Slave Side Implementation For The Multi-Layer High Performance Bus.

58   High Speed VLSI Architecture for General Linear Feedback Shift Registers

59   Design of High Speed Fast Fourier Transform Algorithm
60   The Design Cost Effective Binary Tree Implementation For ID Authentication System

Mais conteúdo relacionado

Mais procurados

Four way traffic light conrol using Verilog
Four way traffic light conrol using VerilogFour way traffic light conrol using Verilog
Four way traffic light conrol using VerilogUtkarsh De
 
Smart traffic light controller using verilog
Smart traffic light controller using verilogSmart traffic light controller using verilog
Smart traffic light controller using verilogVaishaliVaishali14
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentationDaola Khungur
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training pptBhagwan Lal Teli
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLVishesh Thakur
 
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3Abhishekvb
 
Vending Machine Controller using VHDL
Vending Machine Controller using VHDLVending Machine Controller using VHDL
Vending Machine Controller using VHDLSudhanshu Srivastava
 
ieee projects list
ieee projects listieee projects list
ieee projects list8130809758
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answersAyesha Ambreen
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clockMantra VLSI
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogSTEPHEN MOIRANGTHEM
 
DIgital clock using verilog
DIgital clock using verilog DIgital clock using verilog
DIgital clock using verilog Abhishek Sainkar
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdfTesfuFiseha1
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI DesignKalyan Acharjya
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Digital System Design
 

Mais procurados (20)

Four way traffic light conrol using Verilog
Four way traffic light conrol using VerilogFour way traffic light conrol using Verilog
Four way traffic light conrol using Verilog
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
PIC Microcontroller | ADC Interfacing
PIC Microcontroller | ADC InterfacingPIC Microcontroller | ADC Interfacing
PIC Microcontroller | ADC Interfacing
 
Smart traffic light controller using verilog
Smart traffic light controller using verilogSmart traffic light controller using verilog
Smart traffic light controller using verilog
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
PIC Microcontrollers
PIC MicrocontrollersPIC Microcontrollers
PIC Microcontrollers
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Design of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDLDesign of Elevator Controller using Verilog HDL
Design of Elevator Controller using Verilog HDL
 
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3
A Report on Bidirectional Visitor Counter using IR sensors and Arduino Uno R3
 
Vending Machine Controller using VHDL
Vending Machine Controller using VHDLVending Machine Controller using VHDL
Vending Machine Controller using VHDL
 
R18 b.tech.ece syllabus1
R18 b.tech.ece syllabus1R18 b.tech.ece syllabus1
R18 b.tech.ece syllabus1
 
ieee projects list
ieee projects listieee projects list
ieee projects list
 
Vlsi lab viva question with answers
Vlsi lab viva question with answersVlsi lab viva question with answers
Vlsi lab viva question with answers
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clock
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 
DIgital clock using verilog
DIgital clock using verilog DIgital clock using verilog
DIgital clock using verilog
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdf
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 

Destaque

All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
HDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodeHDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodePratik Patil
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDFUR11EC098
 
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Rahul Borthakur
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments IGouthaman V
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBhargavKatkam
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesRicardo Castro
 
Project report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderProject report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderssingh7603
 
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...Saikiran Panjala
 
8 bit single cycle processor
8 bit single cycle processor8 bit single cycle processor
8 bit single cycle processorDhaval Kaneria
 

Destaque (20)

All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
VERILOG CODE
VERILOG CODEVERILOG CODE
VERILOG CODE
 
HDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog CodeHDL Implementation of Vending Machine Report with Verilog Code
HDL Implementation of Vending Machine Report with Verilog Code
 
VLSI Lab manual PDF
VLSI Lab manual PDFVLSI Lab manual PDF
VLSI Lab manual PDF
 
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
VLSI Experiments I
VLSI Experiments IVLSI Experiments I
VLSI Experiments I
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using Verilog
 
B.Tech VLSI projects list
B.Tech VLSI projects listB.Tech VLSI projects list
B.Tech VLSI projects list
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Atm.ppt
Atm.pptAtm.ppt
Atm.ppt
 
Kogge Stone Adder
Kogge Stone AdderKogge Stone Adder
Kogge Stone Adder
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
Project report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adderProject report on design & implementation of high speed carry select adder
Project report on design & implementation of high speed carry select adder
 
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
DESIGN AND SIMULATION OF DIFFERENT 8-BIT MULTIPLIERS USING VERILOG CODE BY SA...
 
Array multiplier
Array multiplierArray multiplier
Array multiplier
 
verilog code
verilog codeverilog code
verilog code
 
Final ppt
Final pptFinal ppt
Final ppt
 
Floating Point Numbers
Floating Point NumbersFloating Point Numbers
Floating Point Numbers
 
8 bit single cycle processor
8 bit single cycle processor8 bit single cycle processor
8 bit single cycle processor
 

Semelhante a Vlsi mini project list 2013

M.Tech VLSI List of new titles for the year 2014
M.Tech VLSI List of new titles for the year 2014 M.Tech VLSI List of new titles for the year 2014
M.Tech VLSI List of new titles for the year 2014 SAK Informatics
 
Main p roject list for vlsi
Main p roject list for  vlsiMain p roject list for  vlsi
Main p roject list for vlsiKhadarbaba Shaik
 
Vlsi 2020 21_titles
Vlsi 2020 21_titles Vlsi 2020 21_titles
Vlsi 2020 21_titles MSR PROJECTS
 
03 Mcu Day 2009 (C2000) 8 13 Editado
03   Mcu Day 2009 (C2000) 8 13   Editado03   Mcu Day 2009 (C2000) 8 13   Editado
03 Mcu Day 2009 (C2000) 8 13 EditadoTexas Instruments
 
Ieee 2015 - 2016 Vlsi title
Ieee 2015 - 2016 Vlsi titleIeee 2015 - 2016 Vlsi title
Ieee 2015 - 2016 Vlsi titlepgembeddedsystem
 
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...High Performance DSP with Xilinx All Programmable Devices (Design Conference ...
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...Analog Devices, Inc.
 
Main p roject list for vlsi
Main p roject list for  vlsiMain p roject list for  vlsi
Main p roject list for vlsiKhadarbaba Shaik
 
Introduction to the 16-bit PIC24F Microcontroller Family
Introduction to the 16-bit PIC24F Microcontroller FamilyIntroduction to the 16-bit PIC24F Microcontroller Family
Introduction to the 16-bit PIC24F Microcontroller FamilyPremier Farnell
 
Ieeee 2014 vlsi completed projects
Ieeee 2014 vlsi completed projectsIeeee 2014 vlsi completed projects
Ieeee 2014 vlsi completed projectsHarish PG
 
Arm cortex (lpc 2148) based motor speed
Arm cortex (lpc 2148) based motor speedArm cortex (lpc 2148) based motor speed
Arm cortex (lpc 2148) based motor speedUday Wankar
 
an advance approach to divert trafiic at busy signals using rfid technolgy
an advance approach to divert trafiic at busy signals using rfid technolgyan advance approach to divert trafiic at busy signals using rfid technolgy
an advance approach to divert trafiic at busy signals using rfid technolgyChitrachedu Dada Kalandar
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICijtsrd
 
Familiarization with instrumentation used for reactor core temperature
Familiarization with instrumentation used for reactor core temperatureFamiliarization with instrumentation used for reactor core temperature
Familiarization with instrumentation used for reactor core temperatureCMS90
 

Semelhante a Vlsi mini project list 2013 (20)

Vlsi titles 2017 18
Vlsi titles 2017 18Vlsi titles 2017 18
Vlsi titles 2017 18
 
Vlsi b.tech 2014 15
Vlsi b.tech 2014 15Vlsi b.tech 2014 15
Vlsi b.tech 2014 15
 
M.Tech VLSI List of new titles for the year 2014
M.Tech VLSI List of new titles for the year 2014 M.Tech VLSI List of new titles for the year 2014
M.Tech VLSI List of new titles for the year 2014
 
Main p roject list for vlsi
Main p roject list for  vlsiMain p roject list for  vlsi
Main p roject list for vlsi
 
Vlsi 2020 21_titles
Vlsi 2020 21_titles Vlsi 2020 21_titles
Vlsi 2020 21_titles
 
03 Mcu Day 2009 (C2000) 8 13 Editado
03   Mcu Day 2009 (C2000) 8 13   Editado03   Mcu Day 2009 (C2000) 8 13   Editado
03 Mcu Day 2009 (C2000) 8 13 Editado
 
Ieee 2015 - 2016 Vlsi title
Ieee 2015 - 2016 Vlsi titleIeee 2015 - 2016 Vlsi title
Ieee 2015 - 2016 Vlsi title
 
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...High Performance DSP with Xilinx All Programmable Devices (Design Conference ...
High Performance DSP with Xilinx All Programmable Devices (Design Conference ...
 
Main p roject list for vlsi
Main p roject list for  vlsiMain p roject list for  vlsi
Main p roject list for vlsi
 
VLSI 2014 LIST
VLSI 2014 LISTVLSI 2014 LIST
VLSI 2014 LIST
 
Vlsi ieee 2014 be, b.tech_completed list(m)
Vlsi ieee 2014 be, b.tech_completed list(m)Vlsi ieee 2014 be, b.tech_completed list(m)
Vlsi ieee 2014 be, b.tech_completed list(m)
 
Vlsi ieee 2014 be, b.tech_completed list(m)
Vlsi ieee 2014 be, b.tech_completed list(m)Vlsi ieee 2014 be, b.tech_completed list(m)
Vlsi ieee 2014 be, b.tech_completed list(m)
 
Introduction to the 16-bit PIC24F Microcontroller Family
Introduction to the 16-bit PIC24F Microcontroller FamilyIntroduction to the 16-bit PIC24F Microcontroller Family
Introduction to the 16-bit PIC24F Microcontroller Family
 
Ieeee 2014 vlsi completed projects
Ieeee 2014 vlsi completed projectsIeeee 2014 vlsi completed projects
Ieeee 2014 vlsi completed projects
 
Arm cortex (lpc 2148) based motor speed
Arm cortex (lpc 2148) based motor speedArm cortex (lpc 2148) based motor speed
Arm cortex (lpc 2148) based motor speed
 
Vlsi Projects titles 2018 19
Vlsi Projects titles 2018 19Vlsi Projects titles 2018 19
Vlsi Projects titles 2018 19
 
an advance approach to divert trafiic at busy signals using rfid technolgy
an advance approach to divert trafiic at busy signals using rfid technolgyan advance approach to divert trafiic at busy signals using rfid technolgy
an advance approach to divert trafiic at busy signals using rfid technolgy
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
 
MIMO Testbed presentation (DSPeR'2005)
MIMO Testbed presentation (DSPeR'2005)MIMO Testbed presentation (DSPeR'2005)
MIMO Testbed presentation (DSPeR'2005)
 
Familiarization with instrumentation used for reactor core temperature
Familiarization with instrumentation used for reactor core temperatureFamiliarization with instrumentation used for reactor core temperature
Familiarization with instrumentation used for reactor core temperature
 

Mais de Vision Solutions

Civil project tittles 2020
Civil project tittles 2020Civil project tittles 2020
Civil project tittles 2020Vision Solutions
 
Civil project tittles 2019
Civil project tittles 2019Civil project tittles 2019
Civil project tittles 2019Vision Solutions
 
Mechnical project list 2020
Mechnical project list 2020Mechnical project list 2020
Mechnical project list 2020Vision Solutions
 
Ieee 2020 matlab project list
Ieee 2020 matlab project listIeee 2020 matlab project list
Ieee 2020 matlab project listVision Solutions
 
Ieee cse software 2018 project list
Ieee cse software 2018 project listIeee cse software 2018 project list
Ieee cse software 2018 project listVision Solutions
 
Ieee m.tech embedded 2018 project list
Ieee m.tech embedded 2018 project listIeee m.tech embedded 2018 project list
Ieee m.tech embedded 2018 project listVision Solutions
 
Ieee eee embedded 2018 project list
Ieee eee embedded 2018 project listIeee eee embedded 2018 project list
Ieee eee embedded 2018 project listVision Solutions
 
Ieee ece embedded 2018 project list
Ieee ece embedded 2018 project listIeee ece embedded 2018 project list
Ieee ece embedded 2018 project listVision Solutions
 
Ieee m.tech 2018 power systems & power electronics & drives list
Ieee m.tech 2018 power systems & power electronics & drives listIeee m.tech 2018 power systems & power electronics & drives list
Ieee m.tech 2018 power systems & power electronics & drives listVision Solutions
 
ieee 2016 Java project list
ieee 2016 Java project listieee 2016 Java project list
ieee 2016 Java project listVision Solutions
 
IEEE 2017 EEE matlab projects
IEEE 2017 EEE matlab projectsIEEE 2017 EEE matlab projects
IEEE 2017 EEE matlab projectsVision Solutions
 
IEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTIEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTVision Solutions
 
IEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTIEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTVision Solutions
 
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)Vision Solutions
 
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)Vision Solutions
 
IEEE 2015 MATLAB EEE PROJECT LIST
IEEE 2015 MATLAB EEE PROJECT LISTIEEE 2015 MATLAB EEE PROJECT LIST
IEEE 2015 MATLAB EEE PROJECT LISTVision Solutions
 
IEEE 2014 MATLAB EEE PROJECT LIST
IEEE 2014 MATLAB EEE PROJECT LISTIEEE 2014 MATLAB EEE PROJECT LIST
IEEE 2014 MATLAB EEE PROJECT LISTVision Solutions
 

Mais de Vision Solutions (20)

Cse python titles 2020
Cse python titles 2020Cse python titles 2020
Cse python titles 2020
 
Civil project tittles 2020
Civil project tittles 2020Civil project tittles 2020
Civil project tittles 2020
 
Civil project tittles 2019
Civil project tittles 2019Civil project tittles 2019
Civil project tittles 2019
 
Mechnical project list 2020
Mechnical project list 2020Mechnical project list 2020
Mechnical project list 2020
 
Cse titles 2020
Cse titles 2020Cse titles 2020
Cse titles 2020
 
Ieee 2020 matlab project list
Ieee 2020 matlab project listIeee 2020 matlab project list
Ieee 2020 matlab project list
 
Eee hardware list
Eee hardware listEee hardware list
Eee hardware list
 
Ieee cse software 2018 project list
Ieee cse software 2018 project listIeee cse software 2018 project list
Ieee cse software 2018 project list
 
Ieee m.tech embedded 2018 project list
Ieee m.tech embedded 2018 project listIeee m.tech embedded 2018 project list
Ieee m.tech embedded 2018 project list
 
Ieee eee embedded 2018 project list
Ieee eee embedded 2018 project listIeee eee embedded 2018 project list
Ieee eee embedded 2018 project list
 
Ieee ece embedded 2018 project list
Ieee ece embedded 2018 project listIeee ece embedded 2018 project list
Ieee ece embedded 2018 project list
 
Ieee m.tech 2018 power systems & power electronics & drives list
Ieee m.tech 2018 power systems & power electronics & drives listIeee m.tech 2018 power systems & power electronics & drives list
Ieee m.tech 2018 power systems & power electronics & drives list
 
ieee 2016 Java project list
ieee 2016 Java project listieee 2016 Java project list
ieee 2016 Java project list
 
IEEE 2017 EEE matlab projects
IEEE 2017 EEE matlab projectsIEEE 2017 EEE matlab projects
IEEE 2017 EEE matlab projects
 
IEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTIEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LIST
 
IEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LISTIEEE VLSI ECE PROJECT LIST
IEEE VLSI ECE PROJECT LIST
 
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
 
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
MECHANICAL PROJECT LIST (UNIGRAPHICS & HEPERMESH & CATIA)
 
IEEE 2015 MATLAB EEE PROJECT LIST
IEEE 2015 MATLAB EEE PROJECT LISTIEEE 2015 MATLAB EEE PROJECT LIST
IEEE 2015 MATLAB EEE PROJECT LIST
 
IEEE 2014 MATLAB EEE PROJECT LIST
IEEE 2014 MATLAB EEE PROJECT LISTIEEE 2014 MATLAB EEE PROJECT LIST
IEEE 2014 MATLAB EEE PROJECT LIST
 

Último

How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17Celine George
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibitjbellavia9
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxcallscotland1987
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfciinovamais
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxDr. Sarita Anand
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Jisc
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxVishalSingh1417
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - Englishneillewis46
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Association for Project Management
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structuredhanjurrannsibayan2
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...pradhanghanshyam7136
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfSherif Taha
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 
FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024Elizabeth Walsh
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentationcamerronhm
 

Último (20)

Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Dyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptxDyslexia AI Workshop for Slideshare.pptx
Dyslexia AI Workshop for Slideshare.pptx
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Single or Multiple melodic lines structure
Single or Multiple melodic lines structureSingle or Multiple melodic lines structure
Single or Multiple melodic lines structure
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024FSB Advising Checklist - Orientation 2024
FSB Advising Checklist - Orientation 2024
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 

Vlsi mini project list 2013

  • 1. VLSI MINI PROJECT LIST (VHDL/VERILOG) S.NO PROJECT TITLES 1 Design Of Kogge-Stone Adder 2 Design Of (9,7 ) Using 1D-Dwt Lifting Scheme 3 Design of 16 Bit Spanning Tree Carry Look Ahead Adder 4 Design of 16 Point Radix-4 FFT (Fast Fourier Transform) Algorithm 5 Design of ATM (Automated Teller Machine) 6 A Spurious Power Suppression Technique for Multimedia/ DSP Applications 7 Design Carry Select Adder 8 Design of Dual Elevator Controller 9 Design of RCEAT for RFID Tag 10 Design of 16 Bit Ripple Carry Adder 11 Design of Dual Port SRAM 12 Multiplication Acceleration through Twin Precision 13 Design of Vending Machine 14 Design of Complex Number Multiplier Using Booth Algorithm 15 Design of Open Core Protocol (OCP) Ip Block 16 Design of Single Precision Floating Point Multiplier
  • 2. 17 Design and Implementation Of 8 Point FFT 18 Design and Implementation Of 8 Point IFFT 19 Design of Digital Clock 20 Design of AMBA-AHB Protocol 21 Design of DDRSD RAM Controller 22 Implementation of Traffic Light Controller 23 Implementation of Dual Port Ram 24 Implementation of Universal Asynchronous Receiver/Transmitter (UART). 25 Implementation of 32-Bit Carry Look Ahead Adders For Fast Addition 26 Implementation of 32-Bit Carry Save Adders For Fast Addition 27 Implementation of 32-Bit Pseudo Random Test Pattern Generator 28 Implementation of Mealy Type And Moore Type Serial Adders 29 Implementation of 32-Bit Braun Multipliers 30 Implementation of Matrix Multiplication 31 Implementation of Cyclic Redundancy Check 32 Implementation of 16-Bit Hamming Code Encoder And Decoder For Single Bit Error Detector And Corrector 33 Implementation of Sequence Detector Using Finite State Machines 34 Implementation of 32-Bit Wallace Tree Multipliers 36 Implementation of 8-Bit ALU 37 Implementation of Fir Filter 38 Implementation of 16-Bit Conditional Sum Adder And Parallel Prefix Adders For Fast Addition 39 Implementation of Seven Segment Display and Code Converters
  • 3. 40 An Efficient Architecture For 2-D Discrete Wavelet Transform.
  • 4. 41 Discrete Wavelet Transform Using Lifting Scheme 42 Real Time Design of Car Light Indicator 43 An Interface Between On Chip and Off Chip 44 An Efficient Linear Convolution for DSP Applications 45 Design of Traffic Light Controller Using VHDL 46 Image Compression Standards for DSP Applications (VERILOG) 47 Low Power Multiplier Using Ancient Mathematics 48 Implementation of Hamming Code Using Verilog HDL 49 Design Of Radix-2 Butterfly Processor To Prevent Overflow In The Arithmetic 50 An Efficient Architecture For 3-D Discrete Wavelet Transform. 51 Implementation Of Guessing Game Using VHDL 52 Orthogonal Frequency Division Multiplexing Using FFT/IFFT Blocks. 53 High-Accuracy Fixed-Width Modified Booth Multipliers For Lossy Applications Design Of An Advanced High Performance Bus For Real Time Internal Bus 54 Architecture 55 High-Performance Controller For Memory Processing. 56 A High Speed Controller Between Memory And Processer 57 Slave Side Implementation For The Multi-Layer High Performance Bus. 58 High Speed VLSI Architecture for General Linear Feedback Shift Registers 59 Design of High Speed Fast Fourier Transform Algorithm
  • 5. 60 The Design Cost Effective Binary Tree Implementation For ID Authentication System