SlideShare uma empresa Scribd logo
1 de 25
Baixar para ler offline
LAB 2: Implementation of sign board
DOT matrix display with 8051
Aim
-

Implementation of dot-matrix led based system using MCS-51
Objectives

-

To design the hardware to interface the display to MCS51 microcontroller.

-

To develop the application software using C language

-

To simulate the program and verify the result
Introduction
This laboratory experiment will provide a basic concept on how to drive and
control a dot matrix led using 8051 microcontroller. An 8x8 dot matrix is selected for this
assignment.
Dot matrix led display
Dot-matrix led display comprises of an array of LED’s that are intersected in such
a way that the negative terminal of each LED (cathode) in the same rows are connected
and the positive terminal (anode) of each LED in the same column are linked together.
Fig.1 internal structure an

LED dot matrix

The figure above shows the basic schematic for a typical 8x8 led matrix
The dot-matrix led displays are widely a used to display information on machines,
clocks, train station departure, airport and sign boards etc.
Choosing a microcontroller
When embarking on a dot-matrix display project or assignment, the main
consideration should focus on the type of microcontroller to use. The specification must
to be considered in selecting the microcontrollers are the number of I/O pins available,
the amount of current that each pin can source and finally the speed at which the
microcontroller operates
The 89c51 microcontroller has made the issue of control signal speed and I/O pins
availability. The chip has a total number of 32 I/O pins and operates at a speed greater
than 10MHz.
Design the hardware to interface display to the MCS-51 microcontroller.
In the hardware design, six 8x8 dot-matrix LEDs were used and 74HC595 used
for serial to parallel shift registering in order to use less I/O pins of the microcontroller.
By using the 74HC595, only 3 I/O lines to drive 8 LEDs. The below figure shows the
hardware design in proteus software.
C language code using keil uvision
#include <reg52.h>
unsigned char cnter, i;
unsigned int n_counter;
#define array_number (array1)
unsigned char code array1[]= {
0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0xFE,0x11,0x11,0x11,0xFE, //A
0x00,0xff,0x02,0x02,0x04,0x02,0xfF,

//M

0x00,0x81,0x81,0xFF,0x81,0x81,0x00,
0x00,0xff,0x02,0x04,0x08,0x10,0xff,
//0x00,0xFF,0x01,0x02,0x04,0x18,0x20,0x3F,
0x00,0x7F,0x80,0x80,0x80,0x80,0x7F,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0xFF,0x89,0x89,0x89,0x89,0x76,
0x00,0x7F,0x80,0x80,0x80,0x80,0x7F,
0x00,0x7E,0x81,0x81,0x91,0x91,0xF1,
0x00,0x00,0xFE,0x11,0x11,0x11,0xFE,
0x00,0x81,0x81,0x81,0x7F,0x01,0x01,
0x00,0x81,0x81,0x81,0x7F,0x01,0x01,
0x00,0xFF,0x89,0x89,0x89,0x89,0x89,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x7F,0x80,0x80,0x80,0x80,0x7F,
0x00,0x7E,0x81,0x81,0x81,0x81,0x7E,
0x00,0x8E,0x91,0x91,0x91,0x91,0x7E,
0x00,0x8F,0x89,0x89,0x89,0x89,0xF1,
//0x00,0x41,0x21,0x11,0x09,0x05,0x03,
0x0,0x81,0x41,0x21,0x11,0x9,0x5,0x3,
0x00,0x76,0x89,0x89,0x89,0x89,0x76,
0x00,0x7E,0x81,0x81,0x81,0x81,0x7E,
0x00,0xE1,0x91,0x91,0x91,0x91,0x8E,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x88,0x84,0x82,0xFF,0x80,0x80,
0x0,0x42,0x91,0x91,0x91,0x91,0x6E,
0x0,0x0,0x0,0x0,0x6C,0x0,0x0,0x0,
0x0,0xF2,0x91,0x91,0x91,0x91,0x8E,
0x0,0x4E,0x91,0x91,0x91,0x91,0x7E,

//
0x0,0x4E,0x91,0x91,0x91,0x91,0x7E,

//

0x0,0x0,0x0,0x0,0x66,0x0,0x0,0x0,
0x0,0x60,0x50,0x48,0x44,0xFF,0x40,

//:
//4

0x0,0x81,0x41,0x21,0x11,0x9,0x5,0x3, //7
0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x0,0xF2,0x91,0x91,0x91,0x91,0x8E,0x0,
0x0,0x81,0x41,0x21,0x11,0x9,0x5,0x3,
0x80,0x40,0x20,0x10,0x8,0x4,0x2,0x1,
0x0,0x7E,0x81,0x81,0x81,0x81,0x7E,0x0,
0x0,0x81,0x41,0x21,0x11,0x9,0x5,0x3,
0x80,0x40,0x20,0x10,0x8,0x4,0x2,0x1,

0x0,0xF2,0x91,0x91,0x91,0x91,0x8E,0x0,
0x0,0x7E,0x81,0x81,0x81,0x81,0x7E,0x0,
0x0,0x80,0x84,0x82,0xFF,0x80,0x80,0x0,
0x0,0xF2,0x91,0x91,0x91,0x91,0x8E,0x0,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,
};
sbit SD

= P1^1;

sbit SH_CK = P1^0;
sbit ST_CK = P1^2;
sbit SD1

= P1^6;

sbit SH_CK1 = P1^5;
sbit ST_CK1 = P1^7;
sbit SD11

= P3^6;

sbit SH_CK11 = P3^5;
sbit ST_CK11 = P3^7;
sbit SD2

= P3^1;

sbit SH_CK2 = P3^0;

sbit ST_CK2 = P3^2;
sbit SD22

= P2^6;

sbit SH_CK22 = P2^5;
sbit ST_CK22 = P2^7;
sbit SD222

= P2^1;

sbit SH_CK222 = P2^0;
sbit SH_CK222 = P2^0;
sbit ST_CK222 = P2^2;
void Ser_IN(unsigned char Data);
void Ser_IN(unsigned char Data)
{

unsigned char i;
for(i = 0; i < 8; i++)
{

SH_CK = 0;
SD = Data & 0x80;
Data <<= 1;
SH_CK = 1;

}
}

void chip_OUT(void)
{
ST_CK = 0;
ST_CK = 1;

}
Simulation using proteus software
Clock code
Conclusion
The implementation of the microcontroller based sign board dot matrix led
display. There were many challenges face while doing this lab assignment. First
challenge was on how to display a single character on the dot-matrix, which was
achieved after a very long battle. The second challenge was scrolling the characters using
timer, it was also achieved over a very long battle where the second challenge was more
challenging than the first challenge. The finally challenge was how to display clock on
the dot-matrix led, and that was successful achieved.
Moreover, regarding the hardware implementation, a single dot matrix led was
used due to time and cost constrain.
Apparently, all the lab objectives were successfully achieved and all learned were
moderately applied.
Reference
a. Mazidi.M.A., Mazidi J.G., 2000,“8051 Microcontroller and Embedded Systems”,Upper
Saddle River, N.J. Pearson Edu. Inc.

Pictures
The hardware was implemented, a single dot matrix led was used due to time and
cost constrain.
Implementation of sign board dot matrix display with 8051
Implementation of sign board dot matrix display with 8051

Mais conteúdo relacionado

Mais procurados

Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation finalAnkur Gupta
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 
Verilog hdl design examples
Verilog hdl design examplesVerilog hdl design examples
Verilog hdl design examplesdennis gookyi
 
Programming models for event controlled programs
Programming models for event controlled programsProgramming models for event controlled programs
Programming models for event controlled programsPriya Kaushal
 
8051 Microcontroller PPT's By Er. Swapnil Kaware
8051 Microcontroller PPT's By Er. Swapnil Kaware8051 Microcontroller PPT's By Er. Swapnil Kaware
8051 Microcontroller PPT's By Er. Swapnil KawareProf. Swapnil V. Kaware
 
weather monitoiring system.pptx
weather monitoiring system.pptxweather monitoiring system.pptx
weather monitoiring system.pptxPranayBathini1
 
Unit 1 Embedded systems and applications
Unit 1 Embedded systems and applicationsUnit 1 Embedded systems and applications
Unit 1 Embedded systems and applicationsDr.YNM
 
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Madhumita Tamhane
 
Voice Based Home Automation
Voice Based Home AutomationVoice Based Home Automation
Voice Based Home AutomationSumukh Athrey
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller systemVinny Chweety
 
Project Report Distance measurement system
Project Report Distance measurement systemProject Report Distance measurement system
Project Report Distance measurement systemkurkute1994
 
Communication Based Projects Ideas for Engineering Students
Communication Based Projects Ideas for Engineering StudentsCommunication Based Projects Ideas for Engineering Students
Communication Based Projects Ideas for Engineering Studentselprocus
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 

Mais procurados (20)

Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation final
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Communication protocols - Embedded Systems
Communication protocols - Embedded SystemsCommunication protocols - Embedded Systems
Communication protocols - Embedded Systems
 
Verilog hdl design examples
Verilog hdl design examplesVerilog hdl design examples
Verilog hdl design examples
 
Programming models for event controlled programs
Programming models for event controlled programsProgramming models for event controlled programs
Programming models for event controlled programs
 
8051 Microcontroller PPT's By Er. Swapnil Kaware
8051 Microcontroller PPT's By Er. Swapnil Kaware8051 Microcontroller PPT's By Er. Swapnil Kaware
8051 Microcontroller PPT's By Er. Swapnil Kaware
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
weather monitoiring system.pptx
weather monitoiring system.pptxweather monitoiring system.pptx
weather monitoiring system.pptx
 
Unit 1 Embedded systems and applications
Unit 1 Embedded systems and applicationsUnit 1 Embedded systems and applications
Unit 1 Embedded systems and applications
 
Design Verification
Design VerificationDesign Verification
Design Verification
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
 
Voice Based Home Automation
Voice Based Home AutomationVoice Based Home Automation
Voice Based Home Automation
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller system
 
8051 full ppt
8051 full ppt8051 full ppt
8051 full ppt
 
Boundary fill algm
Boundary fill algmBoundary fill algm
Boundary fill algm
 
Project Report Distance measurement system
Project Report Distance measurement systemProject Report Distance measurement system
Project Report Distance measurement system
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Communication Based Projects Ideas for Engineering Students
Communication Based Projects Ideas for Engineering StudentsCommunication Based Projects Ideas for Engineering Students
Communication Based Projects Ideas for Engineering Students
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 

Semelhante a Implementation of sign board dot matrix display with 8051

IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER cscpconf
 
Engineering Portfolio of Isaac Bettendorf
Engineering Portfolio of Isaac BettendorfEngineering Portfolio of Isaac Bettendorf
Engineering Portfolio of Isaac BettendorfIsaac Bettendorf
 
Digital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportDigital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportAkash Mhankale
 
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery Board
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery BoardProgramming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery Board
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery BoardGaurav Verma
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...researchinventy
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Scienceresearchinventy
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...IOSR Journals
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...IOSR Journals
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...IOSR Journals
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...IOSR Journals
 
Microcontroller based Integrated Circuit Tester
Microcontroller based Integrated Circuit TesterMicrocontroller based Integrated Circuit Tester
Microcontroller based Integrated Circuit TesterIJERA Editor
 

Semelhante a Implementation of sign board dot matrix display with 8051 (20)

Picmico
PicmicoPicmico
Picmico
 
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
IMPLEMENTATION OF USER INTERFACE FOR MICROPROCESSOR TRAINER
 
Bidirect visitor counter
Bidirect visitor counterBidirect visitor counter
Bidirect visitor counter
 
Introduction to Microcontrollers
Introduction to MicrocontrollersIntroduction to Microcontrollers
Introduction to Microcontrollers
 
Engineering Portfolio of Isaac Bettendorf
Engineering Portfolio of Isaac BettendorfEngineering Portfolio of Isaac Bettendorf
Engineering Portfolio of Isaac Bettendorf
 
I010315760
I010315760I010315760
I010315760
 
Digital Alarm Clock 446 project report
Digital Alarm Clock 446 project reportDigital Alarm Clock 446 project report
Digital Alarm Clock 446 project report
 
10
1010
10
 
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery Board
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery BoardProgramming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery Board
Programming the ARM CORTEX M3 based STM32F100RBT6 Value Line Discovery Board
 
Analog to Digital Converter
Analog to Digital ConverterAnalog to Digital Converter
Analog to Digital Converter
 
Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...Research Inventy : International Journal of Engineering and Science is publis...
Research Inventy : International Journal of Engineering and Science is publis...
 
Research Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and ScienceResearch Inventy: International Journal of Engineering and Science
Research Inventy: International Journal of Engineering and Science
 
CV_Arshad_21June16
CV_Arshad_21June16CV_Arshad_21June16
CV_Arshad_21June16
 
Bds lab 4
Bds lab 4Bds lab 4
Bds lab 4
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
 
E010132736
E010132736E010132736
E010132736
 
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...Implementation of an Improved Microcontroller Based Moving Message Display Sy...
Implementation of an Improved Microcontroller Based Moving Message Display Sy...
 
Microcontroller based Integrated Circuit Tester
Microcontroller based Integrated Circuit TesterMicrocontroller based Integrated Circuit Tester
Microcontroller based Integrated Circuit Tester
 

Último

18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxSayali Powar
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdfQucHHunhnh
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docxPoojaSen20
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpinRaunakKeshri1
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...EduSkills OECD
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 

Último (20)

18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"Mattingly "AI & Prompt Design: The Basics of Prompt Design"
Mattingly "AI & Prompt Design: The Basics of Prompt Design"
 
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptxPOINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
POINT- BIOCHEMISTRY SEM 2 ENZYMES UNIT 5.pptx
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
mini mental status format.docx
mini    mental       status     format.docxmini    mental       status     format.docx
mini mental status format.docx
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpin
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 

Implementation of sign board dot matrix display with 8051