SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1924
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI
Architecture
Shweta Anand Wankhede, Prof.Nilesh Bodne
1Shweta Anand Wankhede ,2 Prof.Nilesh Bodne
Department Of Electronics and Communication Engineering
Vidarbha Institute Of Technology
Nagpur, Maharashtra
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract - Convolutional encoding and viterbi algorithm
are basic concepts of error correction method. Viterbi
algorithm is one of decoding method for data error
correction. In VLSI area, the design challenges are usually
about its power, area consumption, speed, complexity, and
configurability. This paper proposed a configurable and low
power design for hard-decision viterbi decoder in VLSI. For
any number of traceback the design can be configured by
increasing or decreasing the size of traceback parameters. It
needs N+2 clock cycles latency to complete the process, where
N is the number of traceback. In this research, configuration
test have been conducted for N=32 and N=64. The design also
has been synthesized in Xilinx as target boards. It gives good
synthesis results in operational speed and area consumption.
Key Words: Viterbi decoder, convolution encoder, low
power, VLSI.
1. INTRODUCTION
In the error correction field, there are many
methods proposed. One of the best known method is using
viterbi algorithm. Its complete error correction method
consists of three main parts: the convolutional encoding,the
error disturbance,andtheviterbidecoding.Theoriginal data
will be convoluted using its specific convolution formula to
produce a codeword. Each codeword consists of 2-bits.
Codeword is representation of both original data and its
redundant. Hence, if errors occur in the data transmission,
we can reconstruct the correct data using viterbi algorithm.
Habib et.al [1] discussed about design space
exploration in hard decision viterbi algorithm and its VLSI
implementation. Jinjin He et.al [2] proposed a high-speed
and low-power viterbi decoder by using T-algorithm as
precomputation architecture for trellis coded modulation
(TCM) system. Low-power issue is important because,
viterbi decoder is power hungry module in TCM [3].
Regarding this issue, Chakraborty et.al [4] also proposed a
design to reduce power consumption in viterbi decoder.
Another issue is about operational speed. Jinjin He et.al and
Azhar et.al discussed about how to increase the operational
speed of viterbi decoder.
There is still a chance to explore about design
method for configurable and low power viterbidecoder. The
configurable design means that we can changethetraceback
number by changing the traceback parameters without any
additional major modificationsinRTLdesign.Thelowpower
design means that the design consumes low power. Those
are goals of this research. Hard decision category is chosen
because of its simplicity for basic fundamental research.
Hard decision design means viterbi decoder only use two
level decision, high “1” and low “0”.
2. CONVOLUTION ENCODER
Convolutional codes are sometimes referred as
trellis codes. Convolutional encoding is simple,butdecoding
is much more difficult. Convolutional codes are usually
characterized by two parameters and the patterns of n
modulo-2 adders. The two important parameters are the
code rate and constraint length. The code rate is the number
of transmitted bits per input bit, e.g., a rate 1/2 encodes1bit
and produces 2 bits for transmission.
Figure 1: Convolutional encoder with constraint length
k=9 and code rate (k/n)=1/2
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1925
Convolutional codes are sometimes referred as
trellis codes. Convolutional encoding is simple,butdecoding
is much more difficult. Convolutional codes are usually
characterized by two parameters and the patterns of n
modulo-2 adders. The two important parameters are the
code rate and constraint length. The code rate is the number
of transmitted bits per input bit, e.g., a rate 1/2 encodes1bit
and produces 2 bits for transmission.
The encoder has n modulo-2 adders, and n
generator polynomials, one foreachadder.Aninputbit m1is
fed into the leftmost register. Using the generator
polynomials and the existing values in the remaining
registers, the encoder outputs n bits. As shown in Figure 1,
where we have a general encoder designed with a code rate
(k/n) of 1/2 and an information sequence that is being
shifted in to the register m of 1 bit at a time. The shift
register has a constraint length (K) of 3, equal to the number
of stages in the register. The encoder output is called code
symbols. At initialization all stages in the encoder shall be
initially set to zero. The output of the encoder is determined
by the generator polynomial equations.Sincethecomplexity
of the encoder increases exponentially with the constraint
length, none of the encoders uses more than a constraint
length of 9, for practical reasons [5].
Convolutional code definition parameters are the
following: code rate (R), generating polynomial g (n), and
number of input bits (k), number of output bits (n) and
constraint length (K). The code rate is the number of
transmitted bits per input bit, e.g., a rate ½ encodes1bitand
produces 2 bits for transmission. Passing the information
sequence to be transmitted through a linear finite shift
register generates a convolutional code. Tree diagram, state
diagram and trellis diagram are the three alternative
methods that are often used to describe the convolutional
code.
3. VITERBI DECODER
The basic building blocks of Viterbi decoder are
branch metric unit (BMU), path metric unit (PMU), add
compare and select unit (ACSU) and survivor memory
management unit (SMU).
Figure 2: Block diagram of Viterbi decoder
3.1 BRANCH METRIC UNIT (BMU)
The first unit is called branch metric unit. Here the
received data symbols are compared to the ideal outputs of
the encoder from the transmitter and branch metric is
calculated. Hamming distance or the Euclidean distance is
used for branch metric computation.
3.2 PATH METRIC UNIT
The second unit, called path metric computation
unit, calculates the path metrics of a stage by adding the
branch metrics, associated with a received symbol, to the
path metrics from the previous stage of the trellis.
3.3 ADD COMPARE AND SELECT UNIT (ACSU)
The ACSU unit is composed of 64 ACS units, each is
composed of an ACS butterfly module, which adds the
corresponding BM to corresponding PM, compares the new
PM, feeds the selected PM to ACSU unit and generates the
decision bits. The decoder implementsaddersforcomputing
the path metric and a compare-select section to decide on
the best path. Using bit serial architecture can reduce the
power of Viterbi decoder.
3.3.1 BUTTERFLY STRUCTURE
The two ACS processors that share the same inputs
can be grouped in a Butterfly processor. There are 64 states
so 32 butterflies are needed. Because of butterfly structure,
interconnections are reduced due to which power is
reduced. Figure shows the radix 2- butterfly module. The
inputs j and j + N/2 are shared for the output j and 2j+1.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1926
There are two paths reaching towards each node. First is for
transition 0 and other is for transition 1. When input 0 is
given to current state, the next state is 2j but when input to
current state is 1, output is 2j+1.The pathwithlowervalue of
accumulated path metric is assigned. The branch metricand
path metric of the ith path and jth path are accumulated. If
the accumulated path metric ofithpathissmaller,decisionis
made in the favor of ith path and decision bit generated is 0.
The new path metric will be the accumulated path metric of
ith path.
If
BM i(p)+PM i(p)<BM(j,p)+PM(j,p)
then
Dec(p)=0
PM ( p) = PM i( p) + BM i( p)
If the accumulated path metric of jth path is
smaller, decision is made in the favor of jth path and
decision bit generated is 1. The new path metric will be
the accumulated path metric of jth path
If
BM i(p) + PM i(p) > BM (j, p)+PM (j, p)
Dec(p)=1
PM(p) = PM ( j, p) + BM ( j, p)
If
BM i(q) + PM i(q) < BM (j, p)+PM (j, q)
Then
Dec(q)=0
PM (q) = PM ( j, q) + BM ( j, q)
If
BM i( q) + PM i( q) > BM (j, q) +PM (j, q)
Then
Dec(q)=1
PM (q) = PM ( j, q) + BM ( j, q)
According to the symmetric characteristics of viterbi
decoder
BM i( q) = BM (j, p)
BM i( p) = BM (j, q)
Current State Next State
Figure 3: Radix 2 Butterfly Structure
Figure 4: Interconnected ACS unit
3.4 TRACEBACK METHOD
In the TB method, the storage can be implemented
as RAM and is called the path memory. Comparisons in the
ACS unit and does not store the actual survivors. After at
least L branches have been processed,thetrellisconnections
are recalled in the reverse order and through the trellis
diagram the path is traced back. Beginning from the state
with the minimum PM, the TB method extracts the decoded
bits. Beginning at this state and tracing backward in time by
following the survivor path, a unique pathisidentifiedwhich
originally contributed to the current PM. While tracing back
through the trellis, the decoded output sequence, is
generated in the reverse order [8], corresponding to the
traced branches. Trace back architecturelimitsthedecoding
speed [9], since it has a limited memory bandwidth in
nature.
4. RESULT
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1927
Figure 5: Simulation result for encoder and decoder
5. REFERENCES
[1] S. K. Hasnain, and Azam Beg and “Performance Analysis
of Viterbi Decoder Using a DSP Technique”, 8th IEEE
International Multitopic Conference(ITMIC’04), Dec
2004,pp.201-207.
[2] S. Ranpara, On a Viterbi Decoder Design for LowPower
Dissipation, M.S. Thesis, Dept. of Electrical and Computer
Eng., Virginia Polytechnic Institute and State University,
April 1999.
[3] “Design of a High-Throughput Low-Power IS95 Viterbi
Decoder” Xun Liu Marios C. Papaefthymiou. Advanced
Computer Architecture Laboratory,DepartmentofElectrical
Engineering and Computer Science, University of Michigan,
Ann Arbor, Michigan.
[4] R.A.Abdallah, N.R.Shanbhag, "Error-resilient low-power
viterbi decoder architectures", IEEE Trans. Signal Process.,
vol. 57, no. 12, pp. 4906-4917, Dec. 2009 Modulation
Detection and Coding” Tommy Oberg (2001). Wiley and
Sons. Pp 81- 86.
[5] “VLSI Design and Implementation of High Speed Viterbi
Decoder” WANG Jin-xiang, YOU Yu-xin, LAI Feng-chang and
YE Yi-zheng (2002).
[6] “A Convolutional Code Decoder Design Using Viterbi
Algorithm with Register Exchange History Unit” Vasily P.
Pribylov, Alexander I. Plyasunov (2005). SIBCON. IEEE.
[7]The CDMA wireless phone QCP-800 specifications, 1996.
[8]“Efficient Scalable Architectures for Viterbi
Decoders”Stefan Bitterlich and Heinrich Meyr (1993).
Aachen University of Technology,Templergraben,Germany.
pp 89-100.

More Related Content

What's hot

Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd Iaetsd
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsTELKOMNIKA JOURNAL
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Editor IJARCET
 
FPGA Implementation of SubByte & Inverse SubByte for AES Algorithm
FPGA Implementation of SubByte & Inverse SubByte for AES AlgorithmFPGA Implementation of SubByte & Inverse SubByte for AES Algorithm
FPGA Implementation of SubByte & Inverse SubByte for AES Algorithmijsrd.com
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET Journal
 
Design and implementation of log domain decoder
Design and implementation of log domain decoder Design and implementation of log domain decoder
Design and implementation of log domain decoder IJECEIAES
 
SC-FDM-IDMA Scheme Employing BCH Coding
SC-FDM-IDMA Scheme Employing BCH Coding SC-FDM-IDMA Scheme Employing BCH Coding
SC-FDM-IDMA Scheme Employing BCH Coding IJECEIAES
 
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET Journal
 
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization and
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization andIaetsd fpga implementation of cordic algorithm for pipelined fft realization and
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization andIaetsd Iaetsd
 
High Speed Area Efficient 8-point FFT using Vedic Multiplier
High Speed Area Efficient 8-point FFT using Vedic MultiplierHigh Speed Area Efficient 8-point FFT using Vedic Multiplier
High Speed Area Efficient 8-point FFT using Vedic MultiplierIJERA Editor
 
A comparative study of different multiplier designs
A comparative study of different multiplier designsA comparative study of different multiplier designs
A comparative study of different multiplier designsHoopeer Hoopeer
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyIJMER
 
Parallel Hardware Implementation of Convolution using Vedic Mathematics
Parallel Hardware Implementation of Convolution using Vedic MathematicsParallel Hardware Implementation of Convolution using Vedic Mathematics
Parallel Hardware Implementation of Convolution using Vedic MathematicsIOSR Journals
 

What's hot (19)

Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementationsHEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
HEVC 2D-DCT architectures comparison for FPGA and ASIC implementations
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
FPGA Implementation of SubByte & Inverse SubByte for AES Algorithm
FPGA Implementation of SubByte & Inverse SubByte for AES AlgorithmFPGA Implementation of SubByte & Inverse SubByte for AES Algorithm
FPGA Implementation of SubByte & Inverse SubByte for AES Algorithm
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
 
C0421013019
C0421013019C0421013019
C0421013019
 
Design and implementation of log domain decoder
Design and implementation of log domain decoder Design and implementation of log domain decoder
Design and implementation of log domain decoder
 
SC-FDM-IDMA Scheme Employing BCH Coding
SC-FDM-IDMA Scheme Employing BCH Coding SC-FDM-IDMA Scheme Employing BCH Coding
SC-FDM-IDMA Scheme Employing BCH Coding
 
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
 
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization and
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization andIaetsd fpga implementation of cordic algorithm for pipelined fft realization and
Iaetsd fpga implementation of cordic algorithm for pipelined fft realization and
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
Gn3311521155
Gn3311521155Gn3311521155
Gn3311521155
 
High Speed Area Efficient 8-point FFT using Vedic Multiplier
High Speed Area Efficient 8-point FFT using Vedic MultiplierHigh Speed Area Efficient 8-point FFT using Vedic Multiplier
High Speed Area Efficient 8-point FFT using Vedic Multiplier
 
W4408123126
W4408123126W4408123126
W4408123126
 
T04504121126
T04504121126T04504121126
T04504121126
 
A comparative study of different multiplier designs
A comparative study of different multiplier designsA comparative study of different multiplier designs
A comparative study of different multiplier designs
 
Design of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS TechnologyDesign of 8-Bit Comparator Using 45nm CMOS Technology
Design of 8-Bit Comparator Using 45nm CMOS Technology
 
Parallel Hardware Implementation of Convolution using Vedic Mathematics
Parallel Hardware Implementation of Convolution using Vedic MathematicsParallel Hardware Implementation of Convolution using Vedic Mathematics
Parallel Hardware Implementation of Convolution using Vedic Mathematics
 

Similar to A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture

IRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo CodesIRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo CodesIRJET Journal
 
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEMODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEIRJET Journal
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
FPGA Implementation of BIST in OFDM Transceivers
FPGA Implementation of BIST in OFDM TransceiversFPGA Implementation of BIST in OFDM Transceivers
FPGA Implementation of BIST in OFDM TransceiversIRJET Journal
 
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...IRJET Journal
 
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...VLSICS Design
 
Viterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM DecodersViterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM Decodersijtsrd
 
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...VLSICS Design
 
IRJET- Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...
IRJET-  	  Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...IRJET-  	  Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...
IRJET- Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...IRJET Journal
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd Iaetsd
 
High Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM DecodersHigh Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM Decodersijsrd.com
 
Designing of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion InputDesigning of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion InputIRJET Journal
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionIRJET Journal
 
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...IJERA Editor
 
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...IRJET Journal
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...ijsrd.com
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...IRJET Journal
 
IRJET- Color Image Compression using Canonic Signed Digit and Block based...
IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...
IRJET- Color Image Compression using Canonic Signed Digit and Block based...IRJET Journal
 

Similar to A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture (20)

IRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo CodesIRJET-Error Detection and Correction using Turbo Codes
IRJET-Error Detection and Correction using Turbo Codes
 
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEMODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
FPGA Implementation of BIST in OFDM Transceivers
FPGA Implementation of BIST in OFDM TransceiversFPGA Implementation of BIST in OFDM Transceivers
FPGA Implementation of BIST in OFDM Transceivers
 
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...
Improvement in Computational Complexity of the MIMO ML Decoder in High Mobili...
 
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...
FPGA Implementation of Soft Output Viterbi Algorithm Using Memoryless Hybrid ...
 
Viterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM DecodersViterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM Decoders
 
Ff34970973
Ff34970973Ff34970973
Ff34970973
 
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...
FPGA IMPLEMENTATION OF SOFT OUTPUT VITERBI ALGORITHM USING MEMORYLESS HYBRID ...
 
IRJET- Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...
IRJET-  	  Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...IRJET-  	  Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...
IRJET- Design and Implementation of CMOS and CNT based 2:1 Multiplexer at...
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutional
 
High Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM DecodersHigh Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM Decoders
 
Designing of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion InputDesigning of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion Input
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
 
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...
Design and Performance Analysis of Convolutional Encoder and Viterbi Decoder ...
 
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...
IRJET- A Review of Approximate Adders for Energy-Efficient Digital Signal Pro...
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
 
Lb35189919904
Lb35189919904Lb35189919904
Lb35189919904
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
 
IRJET- Color Image Compression using Canonic Signed Digit and Block based...
IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...
IRJET- Color Image Compression using Canonic Signed Digit and Block based...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 

Recently uploaded (20)

UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 

A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1924 A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture Shweta Anand Wankhede, Prof.Nilesh Bodne 1Shweta Anand Wankhede ,2 Prof.Nilesh Bodne Department Of Electronics and Communication Engineering Vidarbha Institute Of Technology Nagpur, Maharashtra ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract - Convolutional encoding and viterbi algorithm are basic concepts of error correction method. Viterbi algorithm is one of decoding method for data error correction. In VLSI area, the design challenges are usually about its power, area consumption, speed, complexity, and configurability. This paper proposed a configurable and low power design for hard-decision viterbi decoder in VLSI. For any number of traceback the design can be configured by increasing or decreasing the size of traceback parameters. It needs N+2 clock cycles latency to complete the process, where N is the number of traceback. In this research, configuration test have been conducted for N=32 and N=64. The design also has been synthesized in Xilinx as target boards. It gives good synthesis results in operational speed and area consumption. Key Words: Viterbi decoder, convolution encoder, low power, VLSI. 1. INTRODUCTION In the error correction field, there are many methods proposed. One of the best known method is using viterbi algorithm. Its complete error correction method consists of three main parts: the convolutional encoding,the error disturbance,andtheviterbidecoding.Theoriginal data will be convoluted using its specific convolution formula to produce a codeword. Each codeword consists of 2-bits. Codeword is representation of both original data and its redundant. Hence, if errors occur in the data transmission, we can reconstruct the correct data using viterbi algorithm. Habib et.al [1] discussed about design space exploration in hard decision viterbi algorithm and its VLSI implementation. Jinjin He et.al [2] proposed a high-speed and low-power viterbi decoder by using T-algorithm as precomputation architecture for trellis coded modulation (TCM) system. Low-power issue is important because, viterbi decoder is power hungry module in TCM [3]. Regarding this issue, Chakraborty et.al [4] also proposed a design to reduce power consumption in viterbi decoder. Another issue is about operational speed. Jinjin He et.al and Azhar et.al discussed about how to increase the operational speed of viterbi decoder. There is still a chance to explore about design method for configurable and low power viterbidecoder. The configurable design means that we can changethetraceback number by changing the traceback parameters without any additional major modificationsinRTLdesign.Thelowpower design means that the design consumes low power. Those are goals of this research. Hard decision category is chosen because of its simplicity for basic fundamental research. Hard decision design means viterbi decoder only use two level decision, high “1” and low “0”. 2. CONVOLUTION ENCODER Convolutional codes are sometimes referred as trellis codes. Convolutional encoding is simple,butdecoding is much more difficult. Convolutional codes are usually characterized by two parameters and the patterns of n modulo-2 adders. The two important parameters are the code rate and constraint length. The code rate is the number of transmitted bits per input bit, e.g., a rate 1/2 encodes1bit and produces 2 bits for transmission. Figure 1: Convolutional encoder with constraint length k=9 and code rate (k/n)=1/2
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1925 Convolutional codes are sometimes referred as trellis codes. Convolutional encoding is simple,butdecoding is much more difficult. Convolutional codes are usually characterized by two parameters and the patterns of n modulo-2 adders. The two important parameters are the code rate and constraint length. The code rate is the number of transmitted bits per input bit, e.g., a rate 1/2 encodes1bit and produces 2 bits for transmission. The encoder has n modulo-2 adders, and n generator polynomials, one foreachadder.Aninputbit m1is fed into the leftmost register. Using the generator polynomials and the existing values in the remaining registers, the encoder outputs n bits. As shown in Figure 1, where we have a general encoder designed with a code rate (k/n) of 1/2 and an information sequence that is being shifted in to the register m of 1 bit at a time. The shift register has a constraint length (K) of 3, equal to the number of stages in the register. The encoder output is called code symbols. At initialization all stages in the encoder shall be initially set to zero. The output of the encoder is determined by the generator polynomial equations.Sincethecomplexity of the encoder increases exponentially with the constraint length, none of the encoders uses more than a constraint length of 9, for practical reasons [5]. Convolutional code definition parameters are the following: code rate (R), generating polynomial g (n), and number of input bits (k), number of output bits (n) and constraint length (K). The code rate is the number of transmitted bits per input bit, e.g., a rate ½ encodes1bitand produces 2 bits for transmission. Passing the information sequence to be transmitted through a linear finite shift register generates a convolutional code. Tree diagram, state diagram and trellis diagram are the three alternative methods that are often used to describe the convolutional code. 3. VITERBI DECODER The basic building blocks of Viterbi decoder are branch metric unit (BMU), path metric unit (PMU), add compare and select unit (ACSU) and survivor memory management unit (SMU). Figure 2: Block diagram of Viterbi decoder 3.1 BRANCH METRIC UNIT (BMU) The first unit is called branch metric unit. Here the received data symbols are compared to the ideal outputs of the encoder from the transmitter and branch metric is calculated. Hamming distance or the Euclidean distance is used for branch metric computation. 3.2 PATH METRIC UNIT The second unit, called path metric computation unit, calculates the path metrics of a stage by adding the branch metrics, associated with a received symbol, to the path metrics from the previous stage of the trellis. 3.3 ADD COMPARE AND SELECT UNIT (ACSU) The ACSU unit is composed of 64 ACS units, each is composed of an ACS butterfly module, which adds the corresponding BM to corresponding PM, compares the new PM, feeds the selected PM to ACSU unit and generates the decision bits. The decoder implementsaddersforcomputing the path metric and a compare-select section to decide on the best path. Using bit serial architecture can reduce the power of Viterbi decoder. 3.3.1 BUTTERFLY STRUCTURE The two ACS processors that share the same inputs can be grouped in a Butterfly processor. There are 64 states so 32 butterflies are needed. Because of butterfly structure, interconnections are reduced due to which power is reduced. Figure shows the radix 2- butterfly module. The inputs j and j + N/2 are shared for the output j and 2j+1.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1926 There are two paths reaching towards each node. First is for transition 0 and other is for transition 1. When input 0 is given to current state, the next state is 2j but when input to current state is 1, output is 2j+1.The pathwithlowervalue of accumulated path metric is assigned. The branch metricand path metric of the ith path and jth path are accumulated. If the accumulated path metric ofithpathissmaller,decisionis made in the favor of ith path and decision bit generated is 0. The new path metric will be the accumulated path metric of ith path. If BM i(p)+PM i(p)<BM(j,p)+PM(j,p) then Dec(p)=0 PM ( p) = PM i( p) + BM i( p) If the accumulated path metric of jth path is smaller, decision is made in the favor of jth path and decision bit generated is 1. The new path metric will be the accumulated path metric of jth path If BM i(p) + PM i(p) > BM (j, p)+PM (j, p) Dec(p)=1 PM(p) = PM ( j, p) + BM ( j, p) If BM i(q) + PM i(q) < BM (j, p)+PM (j, q) Then Dec(q)=0 PM (q) = PM ( j, q) + BM ( j, q) If BM i( q) + PM i( q) > BM (j, q) +PM (j, q) Then Dec(q)=1 PM (q) = PM ( j, q) + BM ( j, q) According to the symmetric characteristics of viterbi decoder BM i( q) = BM (j, p) BM i( p) = BM (j, q) Current State Next State Figure 3: Radix 2 Butterfly Structure Figure 4: Interconnected ACS unit 3.4 TRACEBACK METHOD In the TB method, the storage can be implemented as RAM and is called the path memory. Comparisons in the ACS unit and does not store the actual survivors. After at least L branches have been processed,thetrellisconnections are recalled in the reverse order and through the trellis diagram the path is traced back. Beginning from the state with the minimum PM, the TB method extracts the decoded bits. Beginning at this state and tracing backward in time by following the survivor path, a unique pathisidentifiedwhich originally contributed to the current PM. While tracing back through the trellis, the decoded output sequence, is generated in the reverse order [8], corresponding to the traced branches. Trace back architecturelimitsthedecoding speed [9], since it has a limited memory bandwidth in nature. 4. RESULT
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 06 | June -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 1927 Figure 5: Simulation result for encoder and decoder 5. REFERENCES [1] S. K. Hasnain, and Azam Beg and “Performance Analysis of Viterbi Decoder Using a DSP Technique”, 8th IEEE International Multitopic Conference(ITMIC’04), Dec 2004,pp.201-207. [2] S. Ranpara, On a Viterbi Decoder Design for LowPower Dissipation, M.S. Thesis, Dept. of Electrical and Computer Eng., Virginia Polytechnic Institute and State University, April 1999. [3] “Design of a High-Throughput Low-Power IS95 Viterbi Decoder” Xun Liu Marios C. Papaefthymiou. Advanced Computer Architecture Laboratory,DepartmentofElectrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan. [4] R.A.Abdallah, N.R.Shanbhag, "Error-resilient low-power viterbi decoder architectures", IEEE Trans. Signal Process., vol. 57, no. 12, pp. 4906-4917, Dec. 2009 Modulation Detection and Coding” Tommy Oberg (2001). Wiley and Sons. Pp 81- 86. [5] “VLSI Design and Implementation of High Speed Viterbi Decoder” WANG Jin-xiang, YOU Yu-xin, LAI Feng-chang and YE Yi-zheng (2002). [6] “A Convolutional Code Decoder Design Using Viterbi Algorithm with Register Exchange History Unit” Vasily P. Pribylov, Alexander I. Plyasunov (2005). SIBCON. IEEE. [7]The CDMA wireless phone QCP-800 specifications, 1996. [8]“Efficient Scalable Architectures for Viterbi Decoders”Stefan Bitterlich and Heinrich Meyr (1993). Aachen University of Technology,Templergraben,Germany. pp 89-100.