SlideShare a Scribd company logo
1 of 5
Download to read offline
Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and
                 Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                 Vol. 2, Issue 5, September- October 2012, pp.1285-1289
             Double Pass-Transistor Logic for High Performance,
                    Low Latency Wave Pipeline Circuit
            Santimoy Mandal                                             Shyam Sundar Prasad
     Dept. of Electronics and Communication                         Dept.of Electronics and Communication
   Engineering, RVS college of Engineering and                    National Institute of Technology, Jamshedpur,
                    Technology                                                          India
                Jamshedpur, India

Abstract—
         High throughput and low latency designs           inserting active delay buffers in the paths in which
are required in modern high performance                    there are less number of gates than the longest path
systems, especially for signal processing                  from the input to the output. The rough tuning
applications.Existing logic families cannot provide        method [6] ensures that the gate count along all the
both of them simultaneously.We propose Double              paths is the same. However, rough-tuned circuit is still
Pass Transistor Logic (DPL) which can be used as           not balanced as there is bound to be different delays
a universal logic to provide finest grain pipelining       due to different fan-outs. The absence of
without affecting overall latency or increasing the        synchronizing elements in the wave pipelined circuit
area. It does not require any special process steps        could lead to collision between adjacent waves of
and hence, can be realized in a normal process             data. The clock period should be such that the waves
technology as against the CPL proposed by                  do not collide with each other giving enough time for
Yano et al [2] which uses threshold voltage                the gates to complete its task. The pipe stages in a
adjustment of selected devices. The design                 wave pipelined circuit are composed of single gates
procedure is described for (a) low latency, (b) high       and the load capacitances of the gates are used for
throughput and (c) low area requirements.In                storage. The load capacitance may vary for different
addition to the various advantages, it is                  gates in the same stage depending on the fan-outs.
envisioned that DPL designs can also be used to            Different load capacitances result in different rise and
build ultra-high speed pipelined system without            fall times for the driver gates. This delay variation is
pipelining latches, viz., wave pipelined digital           reduced by fine tuning [5] [6]. Fine tuning involves
systems, where the throughput achievable is                sizing of the transistors in the output inverters of the
beyond that permitted by the delay of a                    driver gate to balance the delay. Once fine tuned, the
pipeline stage.                                            circuit can be clocked at its maximum speed limited
                                                           only by the delay.
 I.      INTRODUCTION                                      Section II discusses the timing constraints of wave
          High speed adders and multipliers are            pipelining and the necessary features in basic gates to
required to meet the demands of signal processing and      be designed for wave pipelining. Section III gives an
multimedia applications.Wavepipelining or “maximal         overview of the existing logic styles for wave
rate pipelining” [l] is a design method that can           pipelining. The limitations of the logic styles and the
increase the throughput of a combinational circuit.In      tuning methods are also discussed. Section IV
conventional pipelining, the combinational circuit is      presents the performance of basic gates highly suitable
broken into smaller blocks or pipeline stages and          for wave pipelining. The power analysis of 8 bit
synchronizing elements like D-flip flops are used as       multiplier is represent in section V.Section VI presents
storage elements. The maximum speed is limited             conclusion and further research direction.
By the number of pipe stages, the size of pipe stages
and the complexity of the clock distribution network.      II.   TIMING CONSTRAINTS IN
In the wave pipelining approach, flip flops are not        WAVEPIPELINING
used as storage elements between pipeline stages.                    Wave pipelined circuits can be clocked at a
Instead, the internal capacitances of the gates are used   much higher frequency than conventional pipelining
for storing the intermediate values [l] [3] [4].There is   because its maximum rate is limited only by the path
considerable area reduction and minimization of            delay difference instead of the maximum path delay.
power due to the elimination of storage elements. This     The minimum clock period for a wave pipelined
also eliminates clock distribution and clock skew          circuit [7] can be represented by
problems as no clock signal is required within the
combinational block. New inputs can be applied to                Tcp≥MAX [∆Tp + 2∆C+Tsh + Trf, ∆Tx + ∆C + Tms + Trf]
the circuit before the outputs are available,
effectively allowing multiple waves of data to             Where Tcp is the clock period of the circuit, ∆tp is
propagate coherently through the circuit.                  the difference between the longest and shortest paths
Wave pipelining requires all paths from the inputs to      in the circuit, ∆C is the worst case clock skew, Tsh is
the outputs to be balanced. This is achieved by            the setup plus hold time for the registers, Trf is the


                                                                                                  1285 | P a g e
Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and
                 Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                 Vol. 2, Issue 5, September- October 2012, pp.1285-1289
worst case rise/fall time at the last logic stage, ∆Tx, is   signals Ai, Aj and B(for an AND/NAND gate(XY/
the propagation delay of the longest path from the           XY) Ai=X, Aj = Y and B = Y). The poor conduction
input to signal X at any intermediate node, and Tms          of logic 1 by NMOS transistors in NPCPL result in
is the minimum time that X must be stable for the            voltage degradation and poor noise margin.WTGL
next stage of logic to operate correctly. The operating      gates use transmission gates to obtain full logic swing
speed is limited by the delay between the shortest and       and better noise margin but static power dissipation is
the longest path and not on the total delay of the           there because here the use NMOS.WTGL and NPCPL
circuit as in conventional pipelining. The goal of the       are fast because of the high logic functionality and
design process would be to reduce ∆Tp and ∆Tx as             low input capacitance of separate circuit paths for
much as possible while the other Parameters have             each possible input combination, thus eliminating pass
known methods to reduce them.                                transistors. Dual rail logic styles are multi-functional
                                                             in nature and all the basic gates have the same
        III.   EXISTING LOGIC STYLES FOR                     delay.System designed with dual rail styles can be
                  WAVEPIPELINING                             rough tuned because of the similarity in the basic
         For a balanced wave pipelined circuit, the          architecture and the availability of “DELAY” gates.
gates designed should not have input dependent delay         All the gates have output inverters for fine tuning
or fan-out dependent delay. All the gates in a               purposes. WTGL and NPCPL have unbalanced input
particular logic family should have the same delay.          capacitances resulting in complex.
Conventional static CMOS is the most preferred logic
among designers because of its high reliability.A 2          B.    Double Pass transistor logic (DPL)
inputs NAND gate is shown in Fig.1.The architecture                    Suzuki et al. [8] proposed the double pass
of the basic gates result in input dependent and             transistor logic [9] that overcomes all the problems of
functionality dependent delays.Several design styles         CPL, namely, voltage degradation and noise
were proposed by researchers satisfying the timing           margin.DPL gates give improved circuit performance
constraints of wave pipelining.                              at reduced supply voltage because of the use of both
                                                             NMOS and PMOS transistors. DPL gates are
               +V             +V                             symmetrical whereby the load in any DPL gate is
                                                             distributed equally among the inputs.DPL
                                                             XOR/XNOR gate is perfectly symmetrical. The
    A                                                        symmetrical arrangement and the double transmission
                                                             property suggest that the DPL gates will perform
                                                             very efficiently in wave pipelined circuits. The
 B                                       Y  A B            PMOS and NMOS transistors are used such that dual
                                                             current path is set up for each input combination
                                                             resulting in smallest equivalent resistance for DPL
                                                             gates compared to other logic styles. In WTGL, here
                                                             are two paths but the same input is passed along both
                                                             the paths. The inputs are different in the two paths in
                                                             DPL thereby distributing the load among the inputs.
                                                             DPL was claimed to be the most energy efficient
          +V        +V                                       logic style among the discussed logic styles by
           V
                                                             Uming KO et.al. [10]. The symmetrical input loading,
A                                                            double transmission property and the energy
                                                             efficiency of DPL gates make the DPL logic family
B                                          Y  AB           the best suited logic style for wave pipelining.
                                                                     IV. PERFORMANCE OF BASIC GATES
                                                                        The power * delay product is a good
                                                             measure for comparing the logic styles that are to be
                                                             used in low power, high speed digital systems. The
        Fig.1 Different CMOS NAND logic style                basic gates of all the logic styles were designed using
                                                             TANNER EDA V.13 with TSMC 0.18µm CMOS
                                                             technology at 2V rail to rail power supply. Table I
A.   Dual rail logic styles                                  gives a measure of the power*delay product of
         Normal         Process       Complementary          various styles used in wave pipelining. Power
Logic(NPCPL)[9], Wave pipeline Transmission Gate             measurement was done using the non invasive power
Logic(WTGL)[3], are the dual rail logic styles used          measurement technique suggested by Kang [12].The
for wave-pipelining. NPCPL and WTGL are based on             power*delay product of the various styles show that
pass transistors and DRSCMOS is based on static              DPL has the lowest power*delay product among the
CMOS. In NPCPL, a basic building block is used to            dual rail logic styles. The single rail logic styles have
develop all basic gates by properly choosing the input



                                                                                                    1286 | P a g e
Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and
                        Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                        Vol. 2, Issue 5, September- October 2012, pp.1285-1289
       low power because of the Lower number of transistors        paths require that the transistors are on all the time.
       and less switching activity.                                Hence the transistors should be driven by the supplies
                                                                   and are not controlled by the inputs. The
                                Table I                            MUX/DMUX gate is the only gate where perfect
                                                                   symmetry could not be achieved. This is because the
                                        Power                      multiplexer is a three input gate. The select input
logi         Rise Fall    Tr- τphl τplh Dissip                     drives only the gates of the transistors and the other
             time time Tp(ps)                  PDP
  c                                     ation(                     two inputs have the same capacitance.
            Tr(ps) Tp(ps)               mW)
                                                                   B. Performance of DPL basic gates
DP                                                                          The power * delay product is a good measure
LN                                 32.6                               for comparing the logic styles that are to be used in
            44.46 44.19 0.27            29.50 .344      10.68
AN                                  5
                                                                      low power, high speed digital systems. The basic
 D                                                                    gates of all the DPL logic styles were shown in
NP                                                                    Table II designed using the layout editor
CP                                                                    TANNER in 0.18 micron technology and the
                                   42.4
 L          34.78 56.34 21.56           61.32 .118      6.12          simulations were done using 2V supply in TSpice.
                                    2
NA                                                                                       Table II
ND
WT                                                                                                                    Powe
GL                                 29.2                                        Rise    Fall     Tr-    τph              r
            29.56 27.53 2.03            28.31 .34       9.741           logi                                  τplh             PD
NA                                  2                                          time    time    Tp(p     l              Dissi
ND                                                                        c    Tr(ps   Tp(p                                     P
                                                                                                s)                    pation
DV                                                                               )       s)                           (mW)
 L                                 51.6                 386.0           DPL
            69.77 70.53 0.56            69.02 6.40                                                      32.6                   10.6
NA                                  2                    48             NA     44.46   44.19    0.27         29.50      .344
ND                                                                                                       5                      8
                                                                        ND
CM                                                                      DPL
OS                                 33.1                                                                 27.2
            40.73 41.92 1.19            27.43 2.03      55.68           AN     59.68   72.56 12.88           42.06      .118   8.17
NA                                  6                                                                    5
                                                                        D
ND                                                                      DPL                             90.9                   8.13
                                                                               50.14   24.32 25.82           52.25      .114
                                                                        OR                               6                      3
           Give a measure of the power*delay product of
                                                                        DPL
       logic styles used in wave pipelining. Power                                                      82.5 107.0             13.9
                                                                        XN     42.69   43.93    1.24                    .147
       measurement was done using the non invasive power                                                 8     7                3
                                                                        OR
       measurement technique suggested by Kang.Though
                                                                        DPL
       the power delay product of the WTGL and NPCPL                                                    26.9
                                                                        XO     47.01   64.35 17.34           23.60      .113   2.85
       logic has low but in NPCPL logic need threshold level                                             0
                                                                        R
       restorer and low noise margin and in WTGL it has
                                                                        DPL
       constant static power dissipation due to PMOS.                                                                          34.8
                                                                        NO     52.47   36.00 16.47117.35 75.50          .361
                                                                                                                                0
                                                                        R
       A.    Modification to the DPL gates                              DPL
                                                                                                        89.5                   10.7
                 The design goal for easier fine tuning is              MU     44.49   40.95    3.54         86.85      .112
                                                                                                         4                      5
       to have balanced input capacitance, that is, the inputs          X
       of the gate should be perfectly symmetrical. The DPL             DPL
       AND/NAND gates and the DPL OR/NOR gates are                      DE                              15.5
       not perfectly symmetrical. All the inputs in these gates                25.27   43.05 17.78           26.56      .336   7.07
                                                                        MU                               7
       are connected to the gates of one NMOS and one                   X
       PMOS transistor but source connections are either to             DPL
       PMOS or NMOS.The drain capacitances of the                       DE                                    203.4            45.7
       NMOS and PMOS transistors are not the same                              81.27   78.74    2.98    200             .227
                                                                        LA                                      0               8
       because of the difference in sizes of the transistors and        Y
       the process parameters. Hence the gates are modified
       so that GND and supply connections are replaced by
       primary inputs. Delay gate is necessary to develop a        C.   Wallace tree multiplier
       complete library of basic gates. The delay gate has just        Several popular and well-known schemes,
       one input unlike the other gates. Hence fewer               with the objective of improving the speed of the
       transistors would be enough to design this gate. For        parallel multiplier, have been developed in past. In
       achieving dual current path for a DELAY/DELAY               1964, C.S. Wallace observed that it is possible to
       gate, transmission gates should be used. Dual current       find a structure, which performs the addition



                                                                                                          1287 | P a g e
Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and
                         Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                         Vol. 2, Issue 5, September- October 2012, pp.1285-1289
operations in parallel; thus resulting in less delay. A     VI. CONCLUSIONS AND FURTHER
Wallace tree [13][14] is an implementation of an                 RESEARCH DIRECTIONS
adder tree designed for minimum propagation                       In this paper we have presented a Double
delay. Rather than completely adding the partial         Pass Transistor Logic (DPL) for low latency and high
products in pairs like the ripple adder tree does, the   throughput applications. We have shown that DPL
Wallace tree sums up all the bits of the same weights    offers the best speed of operation comparable to
in a merged tree. A Wallace tree is an efficient         CPL.It permits pipelining to the finest grain with
hardware implementation of a digital circuit that        negligible overhead of area and latency as opposed to
multiplies two integers.                                 other logic families where an increase in pipelining
                                                         throughput is encumbered with heavy area and
D. Fast Parallel Multipliers                             latency penalty.With DPL, it is possible to exploit
         Different Counter and Compressor Families      both latency and throughput simultaneously to the
          were compared. The best way is to build a      maximum realisable extent. Because of its modularity
          compressor of the maximal size (i.e. the       and higher logic functionality, DPL bears the
          entire size of the multiplier) as shown in     potential for a sea-of-gates realization. As a further
          Fig.2.                                         research direction we are working towards exploiting
                                                         DPL design for fabrication.
         The Essence of the optimal tree is optimal
          wiring     and    NOT      the    use    of                         REFERENCES
          counter/compressor family.                     [1]    G. Cotten, L.W., “Maximum - rate pipeline
    Tuning of the Final Adder into the signal arrival           system,” in Proceedings of the 1969 AFIPS
    profile is more important than the speed of the             Spring Joint Computer Conference, pp.581-586,
    Final Adder.                                         [2]     K.Yano et al, “A 3.8 ns 16 x 16-b Multiplier
                                                                Using Complementary Pass-Transistor Logic, ”
                                                                .IEEE Jl. of Solid-state Circuits, SC-25, April
                                                                1990, pp.388-395py,” in Magnetism, vol. III, G.
                                                                T. Rado and H. Suhl, Eds. New York: Academic,
                                                                1963, pp. 271–350.
                                                         [3]    Zhang, X., and Sridhar, R. “CMOS Wave
                                                                Pipelining Using Transmission Gate Logic,” in
                                                                Proc. of       the IEEE International ASIC
                                                                Conference and Exhibit, Rochester, NY, pp. 92-
                                                                95, 1995.
                                                         [4]    Femandez, G.E., “Dual Rail Static CMOS
                                                                Architecture for Wave Pipelining,” Masters
                                                                Thesis, Department of Electncal and Computer
                                                                Engineering, SUNY Buffalo, 1995.
                                                         [5]    Wong et al., “Inserting Active Delay Elements
                                                                to Achieve Wave Pipelining,”, Proc. Int. Conf.
                                                                CAD 89, pp.270-73, 1989.
                                                         [6]    Dipankar Talukdar and R.           Sridhar, “An
                                                                analytical approach to fine tuning in CMOS
                                                                Wavepipelining”, ASIC, September 1996.
                                                         [7]    C. Gray, W.Liu, and R. Cavin 111, “Timing
                                                                Constraints for Wavepipelining System,” IEEE
                                                                Transactions on Computer-Aided Design of IC
                                                                and Systems, 13, 1994, pp 987-1004.
                                                         [8]    Makato Suzuki et al., “A 1.5 ns 32-b CMOS
                                                                ALU in Double Pass-Transistor Logic’, IEEE
                                                                Joumal of Solid State Circuits, Vol. 28., No. 11,
                                                                Nov 1993.
                                                         [9]    Ghosh, D., and Nandy, S. “NPCPL: Normal
   Fig.2 Fast parallel multiplier (8bit×8bit)                   Process Complementary Pass Transistor Logic
  V. POWER ANALYSIS FOR MULTIPLIER                              fro Low          Latency, High Throughput
8-BIT                                                           Application,” Proc. VLSI Design 93, pp 341-46,
         For DPL logic the power dissipation of the             Jan 1993.
fast parallel multiplier is .903 milliWatt in the        [10]   Uming KO, Poras T. Balsara, and Wai Lee,
operating frequency at 10 GHZ.                                  “Low-Power Design Techniques for High-
                                                                Performance CMOS Adders,” IEEE Transactions
                                                                on VLSI Systems, Vol. 3, No. 2, June 1995.



                                                                                                 1288 | P a g e
Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and
                  Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 5, September- October 2012, pp.1285-1289
[11]   Liu, W. et al. “A 250MHz Wave Pipelined
       Adder in 2 CMOS,” in IEEE Joumal of Solid
       State Circuits, September 1994.
[12]   Sung MO Kang, “Accurate Simulation of Power
       Dissipation in VLSI Circuits,” IEEE Journal of
       Solid State Circuits, Vol SC-21., No 5., Oct
       1986.
[13]   V. G. Oklobdzija and D. Villeger, “Improving
       Multiplier Design By Using Improved Column
       Compression Tree And Optimized Final Adder
       In CMOS Technology,” IEEE Transactions on
       VLSI Systems, Vol.3, No.2, June, 1995, 25
       pages.
[14]   Z. Shun, O. A. Pfander, H.-J. Pfleiderer, and A.
       Bermak, “A VLSI ar-chitecture for a run-time
       multi-precision reconfigurable Booth multi-
       plier,” in Proc. 14th IEEE Int. Conf. Electron.,
       Circuits, Syst., Dec.2007, pp. 975–978.




                                                                             1289 | P a g e

More Related Content

What's hot

Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...
Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...
Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...Sourour Kanzari
 
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.CSCJournals
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...IOSR Journals
 
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...IJERA Editor
 
An adaptive power controlled mac protocol for
An adaptive power controlled mac protocol forAn adaptive power controlled mac protocol for
An adaptive power controlled mac protocol forambitlick
 
Asic backend design
Asic backend designAsic backend design
Asic backend designkbipeen
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewSudhanshu Janwadkar
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...VLSICS Design
 
Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsIJERD Editor
 
Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Editor IJARCET
 
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...IJASCSE
 

What's hot (17)

Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...
Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...
Andrade sep15 fromlowarchitecturalexpertiseuptohighthroughputnonbinaryldpcdec...
 
Ijetr011811
Ijetr011811Ijetr011811
Ijetr011811
 
87
8787
87
 
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.
Peak-to-Average Power Ratio Reduction in NC-OFDM based Cognitive Radio.
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
 
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...
Design and Analysis of New Modified Feedthrough Logic (MFTL) Circuits Using C...
 
Final project M.TECH ( PPT FILE )
Final project M.TECH ( PPT FILE )Final project M.TECH ( PPT FILE )
Final project M.TECH ( PPT FILE )
 
Ho2413421346
Ho2413421346Ho2413421346
Ho2413421346
 
ijetcas14 650
ijetcas14 650ijetcas14 650
ijetcas14 650
 
An adaptive power controlled mac protocol for
An adaptive power controlled mac protocol forAn adaptive power controlled mac protocol for
An adaptive power controlled mac protocol for
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
Embedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual ReviewEmbedded Logic Flip-Flops: A Conceptual Review
Embedded Logic Flip-Flops: A Conceptual Review
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
 
Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission Systems
 
82
8282
82
 
Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377
 
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...
OfdmaClosed-Form Rate Outage Probability for OFDMA Multi-Hop Broadband Wirele...
 

Viewers also liked

Designing Teams for Emerging Challenges
Designing Teams for Emerging ChallengesDesigning Teams for Emerging Challenges
Designing Teams for Emerging ChallengesAaron Irizarry
 
UX, ethnography and possibilities: for Libraries, Museums and Archives
UX, ethnography and possibilities: for Libraries, Museums and ArchivesUX, ethnography and possibilities: for Libraries, Museums and Archives
UX, ethnography and possibilities: for Libraries, Museums and ArchivesNed Potter
 
Study: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsStudy: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsLinkedIn
 
Visual Design with Data
Visual Design with DataVisual Design with Data
Visual Design with DataSeth Familian
 
Hype vs. Reality: The AI Explainer
Hype vs. Reality: The AI ExplainerHype vs. Reality: The AI Explainer
Hype vs. Reality: The AI ExplainerLuminary Labs
 
3 Things Every Sales Team Needs to Be Thinking About in 2017
3 Things Every Sales Team Needs to Be Thinking About in 20173 Things Every Sales Team Needs to Be Thinking About in 2017
3 Things Every Sales Team Needs to Be Thinking About in 2017Drift
 
How to Become a Thought Leader in Your Niche
How to Become a Thought Leader in Your NicheHow to Become a Thought Leader in Your Niche
How to Become a Thought Leader in Your NicheLeslie Samuel
 

Viewers also liked (8)

punt linia i pla
punt linia i plapunt linia i pla
punt linia i pla
 
Designing Teams for Emerging Challenges
Designing Teams for Emerging ChallengesDesigning Teams for Emerging Challenges
Designing Teams for Emerging Challenges
 
UX, ethnography and possibilities: for Libraries, Museums and Archives
UX, ethnography and possibilities: for Libraries, Museums and ArchivesUX, ethnography and possibilities: for Libraries, Museums and Archives
UX, ethnography and possibilities: for Libraries, Museums and Archives
 
Study: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsStudy: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving Cars
 
Visual Design with Data
Visual Design with DataVisual Design with Data
Visual Design with Data
 
Hype vs. Reality: The AI Explainer
Hype vs. Reality: The AI ExplainerHype vs. Reality: The AI Explainer
Hype vs. Reality: The AI Explainer
 
3 Things Every Sales Team Needs to Be Thinking About in 2017
3 Things Every Sales Team Needs to Be Thinking About in 20173 Things Every Sales Team Needs to Be Thinking About in 2017
3 Things Every Sales Team Needs to Be Thinking About in 2017
 
How to Become a Thought Leader in Your Niche
How to Become a Thought Leader in Your NicheHow to Become a Thought Leader in Your Niche
How to Become a Thought Leader in Your Niche
 

Similar to Hb2512851289

OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...VLSICS Design
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleeSAT Publishing House
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...IOSR Journals
 
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...VLSICS Design
 
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay and Area in VL...
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay  and Area in VL...Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay  and Area in VL...
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay and Area in VL...IJMER
 
Ijmer 46050106
Ijmer 46050106Ijmer 46050106
Ijmer 46050106IJMER
 
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYcscpconf
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology csandit
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199MalikPinckney86
 
BATCH 13-VLSI-final.pptx
BATCH 13-VLSI-final.pptxBATCH 13-VLSI-final.pptx
BATCH 13-VLSI-final.pptxssuser47c279
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerIJMTST Journal
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...VLSICS Design
 
Lantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq
 
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...IDES Editor
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsVLSICS Design
 

Similar to Hb2512851289 (20)

OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic style
 
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure D...
 
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...
A NOVEL POWER REDUCTION TECHNIQUE FOR DUAL-THRESHOLD DOMINO LOGIC IN SUB-65NM...
 
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay and Area in VL...
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay  and Area in VL...Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay  and Area in VL...
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay and Area in VL...
 
Ijmer 46050106
Ijmer 46050106Ijmer 46050106
Ijmer 46050106
 
Df34655661
Df34655661Df34655661
Df34655661
 
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGYANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
ANALYSIS OF CMOS AND MTCMOS CIRCUITS USING 250 NANO METER TECHNOLOGY
 
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
Analysis of CMOS and MTCMOS Circuits Using 250 Nano Meter Technology
 
ha_report modified
ha_report  modifiedha_report  modified
ha_report modified
 
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 199
 
BATCH 13-VLSI-final.pptx
BATCH 13-VLSI-final.pptxBATCH 13-VLSI-final.pptx
BATCH 13-VLSI-final.pptx
 
Is3515091514
Is3515091514Is3515091514
Is3515091514
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
 
Lantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific ConferencesLantiq/Intel Contributions to G.fast at Scientific Conferences
Lantiq/Intel Contributions to G.fast at Scientific Conferences
 
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...
A High Speed Pipelined Dynamic Circuit Implementation Using Modified TSPC Log...
 
A low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signalsA low power cmos analog circuit design for acquiring multichannel eeg signals
A low power cmos analog circuit design for acquiring multichannel eeg signals
 

Hb2512851289

  • 1. Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1285-1289 Double Pass-Transistor Logic for High Performance, Low Latency Wave Pipeline Circuit Santimoy Mandal Shyam Sundar Prasad Dept. of Electronics and Communication Dept.of Electronics and Communication Engineering, RVS college of Engineering and National Institute of Technology, Jamshedpur, Technology India Jamshedpur, India Abstract— High throughput and low latency designs inserting active delay buffers in the paths in which are required in modern high performance there are less number of gates than the longest path systems, especially for signal processing from the input to the output. The rough tuning applications.Existing logic families cannot provide method [6] ensures that the gate count along all the both of them simultaneously.We propose Double paths is the same. However, rough-tuned circuit is still Pass Transistor Logic (DPL) which can be used as not balanced as there is bound to be different delays a universal logic to provide finest grain pipelining due to different fan-outs. The absence of without affecting overall latency or increasing the synchronizing elements in the wave pipelined circuit area. It does not require any special process steps could lead to collision between adjacent waves of and hence, can be realized in a normal process data. The clock period should be such that the waves technology as against the CPL proposed by do not collide with each other giving enough time for Yano et al [2] which uses threshold voltage the gates to complete its task. The pipe stages in a adjustment of selected devices. The design wave pipelined circuit are composed of single gates procedure is described for (a) low latency, (b) high and the load capacitances of the gates are used for throughput and (c) low area requirements.In storage. The load capacitance may vary for different addition to the various advantages, it is gates in the same stage depending on the fan-outs. envisioned that DPL designs can also be used to Different load capacitances result in different rise and build ultra-high speed pipelined system without fall times for the driver gates. This delay variation is pipelining latches, viz., wave pipelined digital reduced by fine tuning [5] [6]. Fine tuning involves systems, where the throughput achievable is sizing of the transistors in the output inverters of the beyond that permitted by the delay of a driver gate to balance the delay. Once fine tuned, the pipeline stage. circuit can be clocked at its maximum speed limited only by the delay. I. INTRODUCTION Section II discusses the timing constraints of wave High speed adders and multipliers are pipelining and the necessary features in basic gates to required to meet the demands of signal processing and be designed for wave pipelining. Section III gives an multimedia applications.Wavepipelining or “maximal overview of the existing logic styles for wave rate pipelining” [l] is a design method that can pipelining. The limitations of the logic styles and the increase the throughput of a combinational circuit.In tuning methods are also discussed. Section IV conventional pipelining, the combinational circuit is presents the performance of basic gates highly suitable broken into smaller blocks or pipeline stages and for wave pipelining. The power analysis of 8 bit synchronizing elements like D-flip flops are used as multiplier is represent in section V.Section VI presents storage elements. The maximum speed is limited conclusion and further research direction. By the number of pipe stages, the size of pipe stages and the complexity of the clock distribution network. II. TIMING CONSTRAINTS IN In the wave pipelining approach, flip flops are not WAVEPIPELINING used as storage elements between pipeline stages. Wave pipelined circuits can be clocked at a Instead, the internal capacitances of the gates are used much higher frequency than conventional pipelining for storing the intermediate values [l] [3] [4].There is because its maximum rate is limited only by the path considerable area reduction and minimization of delay difference instead of the maximum path delay. power due to the elimination of storage elements. This The minimum clock period for a wave pipelined also eliminates clock distribution and clock skew circuit [7] can be represented by problems as no clock signal is required within the combinational block. New inputs can be applied to Tcp≥MAX [∆Tp + 2∆C+Tsh + Trf, ∆Tx + ∆C + Tms + Trf] the circuit before the outputs are available, effectively allowing multiple waves of data to Where Tcp is the clock period of the circuit, ∆tp is propagate coherently through the circuit. the difference between the longest and shortest paths Wave pipelining requires all paths from the inputs to in the circuit, ∆C is the worst case clock skew, Tsh is the outputs to be balanced. This is achieved by the setup plus hold time for the registers, Trf is the 1285 | P a g e
  • 2. Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1285-1289 worst case rise/fall time at the last logic stage, ∆Tx, is signals Ai, Aj and B(for an AND/NAND gate(XY/ the propagation delay of the longest path from the XY) Ai=X, Aj = Y and B = Y). The poor conduction input to signal X at any intermediate node, and Tms of logic 1 by NMOS transistors in NPCPL result in is the minimum time that X must be stable for the voltage degradation and poor noise margin.WTGL next stage of logic to operate correctly. The operating gates use transmission gates to obtain full logic swing speed is limited by the delay between the shortest and and better noise margin but static power dissipation is the longest path and not on the total delay of the there because here the use NMOS.WTGL and NPCPL circuit as in conventional pipelining. The goal of the are fast because of the high logic functionality and design process would be to reduce ∆Tp and ∆Tx as low input capacitance of separate circuit paths for much as possible while the other Parameters have each possible input combination, thus eliminating pass known methods to reduce them. transistors. Dual rail logic styles are multi-functional in nature and all the basic gates have the same III. EXISTING LOGIC STYLES FOR delay.System designed with dual rail styles can be WAVEPIPELINING rough tuned because of the similarity in the basic For a balanced wave pipelined circuit, the architecture and the availability of “DELAY” gates. gates designed should not have input dependent delay All the gates have output inverters for fine tuning or fan-out dependent delay. All the gates in a purposes. WTGL and NPCPL have unbalanced input particular logic family should have the same delay. capacitances resulting in complex. Conventional static CMOS is the most preferred logic among designers because of its high reliability.A 2 B. Double Pass transistor logic (DPL) inputs NAND gate is shown in Fig.1.The architecture Suzuki et al. [8] proposed the double pass of the basic gates result in input dependent and transistor logic [9] that overcomes all the problems of functionality dependent delays.Several design styles CPL, namely, voltage degradation and noise were proposed by researchers satisfying the timing margin.DPL gates give improved circuit performance constraints of wave pipelining. at reduced supply voltage because of the use of both NMOS and PMOS transistors. DPL gates are +V +V symmetrical whereby the load in any DPL gate is distributed equally among the inputs.DPL XOR/XNOR gate is perfectly symmetrical. The A symmetrical arrangement and the double transmission property suggest that the DPL gates will perform very efficiently in wave pipelined circuits. The B Y  A B PMOS and NMOS transistors are used such that dual current path is set up for each input combination resulting in smallest equivalent resistance for DPL gates compared to other logic styles. In WTGL, here are two paths but the same input is passed along both the paths. The inputs are different in the two paths in DPL thereby distributing the load among the inputs. DPL was claimed to be the most energy efficient +V +V logic style among the discussed logic styles by V Uming KO et.al. [10]. The symmetrical input loading, A double transmission property and the energy efficiency of DPL gates make the DPL logic family B Y  AB the best suited logic style for wave pipelining. IV. PERFORMANCE OF BASIC GATES The power * delay product is a good measure for comparing the logic styles that are to be used in low power, high speed digital systems. The Fig.1 Different CMOS NAND logic style basic gates of all the logic styles were designed using TANNER EDA V.13 with TSMC 0.18µm CMOS technology at 2V rail to rail power supply. Table I A. Dual rail logic styles gives a measure of the power*delay product of Normal Process Complementary various styles used in wave pipelining. Power Logic(NPCPL)[9], Wave pipeline Transmission Gate measurement was done using the non invasive power Logic(WTGL)[3], are the dual rail logic styles used measurement technique suggested by Kang [12].The for wave-pipelining. NPCPL and WTGL are based on power*delay product of the various styles show that pass transistors and DRSCMOS is based on static DPL has the lowest power*delay product among the CMOS. In NPCPL, a basic building block is used to dual rail logic styles. The single rail logic styles have develop all basic gates by properly choosing the input 1286 | P a g e
  • 3. Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1285-1289 low power because of the Lower number of transistors paths require that the transistors are on all the time. and less switching activity. Hence the transistors should be driven by the supplies and are not controlled by the inputs. The Table I MUX/DMUX gate is the only gate where perfect symmetry could not be achieved. This is because the Power multiplexer is a three input gate. The select input logi Rise Fall Tr- τphl τplh Dissip drives only the gates of the transistors and the other time time Tp(ps) PDP c ation( two inputs have the same capacitance. Tr(ps) Tp(ps) mW) B. Performance of DPL basic gates DP The power * delay product is a good measure LN 32.6 for comparing the logic styles that are to be used in 44.46 44.19 0.27 29.50 .344 10.68 AN 5 low power, high speed digital systems. The basic D gates of all the DPL logic styles were shown in NP Table II designed using the layout editor CP TANNER in 0.18 micron technology and the 42.4 L 34.78 56.34 21.56 61.32 .118 6.12 simulations were done using 2V supply in TSpice. 2 NA Table II ND WT Powe GL 29.2 Rise Fall Tr- τph r 29.56 27.53 2.03 28.31 .34 9.741 logi τplh PD NA 2 time time Tp(p l Dissi ND c Tr(ps Tp(p P s) pation DV ) s) (mW) L 51.6 386.0 DPL 69.77 70.53 0.56 69.02 6.40 32.6 10.6 NA 2 48 NA 44.46 44.19 0.27 29.50 .344 ND 5 8 ND CM DPL OS 33.1 27.2 40.73 41.92 1.19 27.43 2.03 55.68 AN 59.68 72.56 12.88 42.06 .118 8.17 NA 6 5 D ND DPL 90.9 8.13 50.14 24.32 25.82 52.25 .114 OR 6 3 Give a measure of the power*delay product of DPL logic styles used in wave pipelining. Power 82.5 107.0 13.9 XN 42.69 43.93 1.24 .147 measurement was done using the non invasive power 8 7 3 OR measurement technique suggested by Kang.Though DPL the power delay product of the WTGL and NPCPL 26.9 XO 47.01 64.35 17.34 23.60 .113 2.85 logic has low but in NPCPL logic need threshold level 0 R restorer and low noise margin and in WTGL it has DPL constant static power dissipation due to PMOS. 34.8 NO 52.47 36.00 16.47117.35 75.50 .361 0 R A. Modification to the DPL gates DPL 89.5 10.7 The design goal for easier fine tuning is MU 44.49 40.95 3.54 86.85 .112 4 5 to have balanced input capacitance, that is, the inputs X of the gate should be perfectly symmetrical. The DPL DPL AND/NAND gates and the DPL OR/NOR gates are DE 15.5 not perfectly symmetrical. All the inputs in these gates 25.27 43.05 17.78 26.56 .336 7.07 MU 7 are connected to the gates of one NMOS and one X PMOS transistor but source connections are either to DPL PMOS or NMOS.The drain capacitances of the DE 203.4 45.7 NMOS and PMOS transistors are not the same 81.27 78.74 2.98 200 .227 LA 0 8 because of the difference in sizes of the transistors and Y the process parameters. Hence the gates are modified so that GND and supply connections are replaced by primary inputs. Delay gate is necessary to develop a C. Wallace tree multiplier complete library of basic gates. The delay gate has just Several popular and well-known schemes, one input unlike the other gates. Hence fewer with the objective of improving the speed of the transistors would be enough to design this gate. For parallel multiplier, have been developed in past. In achieving dual current path for a DELAY/DELAY 1964, C.S. Wallace observed that it is possible to gate, transmission gates should be used. Dual current find a structure, which performs the addition 1287 | P a g e
  • 4. Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1285-1289 operations in parallel; thus resulting in less delay. A VI. CONCLUSIONS AND FURTHER Wallace tree [13][14] is an implementation of an RESEARCH DIRECTIONS adder tree designed for minimum propagation In this paper we have presented a Double delay. Rather than completely adding the partial Pass Transistor Logic (DPL) for low latency and high products in pairs like the ripple adder tree does, the throughput applications. We have shown that DPL Wallace tree sums up all the bits of the same weights offers the best speed of operation comparable to in a merged tree. A Wallace tree is an efficient CPL.It permits pipelining to the finest grain with hardware implementation of a digital circuit that negligible overhead of area and latency as opposed to multiplies two integers. other logic families where an increase in pipelining throughput is encumbered with heavy area and D. Fast Parallel Multipliers latency penalty.With DPL, it is possible to exploit  Different Counter and Compressor Families both latency and throughput simultaneously to the were compared. The best way is to build a maximum realisable extent. Because of its modularity compressor of the maximal size (i.e. the and higher logic functionality, DPL bears the entire size of the multiplier) as shown in potential for a sea-of-gates realization. As a further Fig.2. research direction we are working towards exploiting DPL design for fabrication.  The Essence of the optimal tree is optimal wiring and NOT the use of REFERENCES counter/compressor family. [1] G. Cotten, L.W., “Maximum - rate pipeline Tuning of the Final Adder into the signal arrival system,” in Proceedings of the 1969 AFIPS profile is more important than the speed of the Spring Joint Computer Conference, pp.581-586, Final Adder. [2] K.Yano et al, “A 3.8 ns 16 x 16-b Multiplier Using Complementary Pass-Transistor Logic, ” .IEEE Jl. of Solid-state Circuits, SC-25, April 1990, pp.388-395py,” in Magnetism, vol. III, G. T. Rado and H. Suhl, Eds. New York: Academic, 1963, pp. 271–350. [3] Zhang, X., and Sridhar, R. “CMOS Wave Pipelining Using Transmission Gate Logic,” in Proc. of the IEEE International ASIC Conference and Exhibit, Rochester, NY, pp. 92- 95, 1995. [4] Femandez, G.E., “Dual Rail Static CMOS Architecture for Wave Pipelining,” Masters Thesis, Department of Electncal and Computer Engineering, SUNY Buffalo, 1995. [5] Wong et al., “Inserting Active Delay Elements to Achieve Wave Pipelining,”, Proc. Int. Conf. CAD 89, pp.270-73, 1989. [6] Dipankar Talukdar and R. Sridhar, “An analytical approach to fine tuning in CMOS Wavepipelining”, ASIC, September 1996. [7] C. Gray, W.Liu, and R. Cavin 111, “Timing Constraints for Wavepipelining System,” IEEE Transactions on Computer-Aided Design of IC and Systems, 13, 1994, pp 987-1004. [8] Makato Suzuki et al., “A 1.5 ns 32-b CMOS ALU in Double Pass-Transistor Logic’, IEEE Joumal of Solid State Circuits, Vol. 28., No. 11, Nov 1993. [9] Ghosh, D., and Nandy, S. “NPCPL: Normal Fig.2 Fast parallel multiplier (8bit×8bit) Process Complementary Pass Transistor Logic V. POWER ANALYSIS FOR MULTIPLIER fro Low Latency, High Throughput 8-BIT Application,” Proc. VLSI Design 93, pp 341-46, For DPL logic the power dissipation of the Jan 1993. fast parallel multiplier is .903 milliWatt in the [10] Uming KO, Poras T. Balsara, and Wai Lee, operating frequency at 10 GHZ. “Low-Power Design Techniques for High- Performance CMOS Adders,” IEEE Transactions on VLSI Systems, Vol. 3, No. 2, June 1995. 1288 | P a g e
  • 5. Santimoy Mandal, Shyam Sundar Prasad / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.1285-1289 [11] Liu, W. et al. “A 250MHz Wave Pipelined Adder in 2 CMOS,” in IEEE Joumal of Solid State Circuits, September 1994. [12] Sung MO Kang, “Accurate Simulation of Power Dissipation in VLSI Circuits,” IEEE Journal of Solid State Circuits, Vol SC-21., No 5., Oct 1986. [13] V. G. Oklobdzija and D. Villeger, “Improving Multiplier Design By Using Improved Column Compression Tree And Optimized Final Adder In CMOS Technology,” IEEE Transactions on VLSI Systems, Vol.3, No.2, June, 1995, 25 pages. [14] Z. Shun, O. A. Pfander, H.-J. Pfleiderer, and A. Bermak, “A VLSI ar-chitecture for a run-time multi-precision reconfigurable Booth multi- plier,” in Proc. 14th IEEE Int. Conf. Electron., Circuits, Syst., Dec.2007, pp. 975–978. 1289 | P a g e