SlideShare uma empresa Scribd logo
1 de 5
SEP

DGEST
INSTITUTO

TECNOLÓGICO

SNEST

DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

28 de Octubre del 2013
Practica 8
Objetivo:
Esta práctica implementará el funcionamiento de una maquina despachadora de
bebidas.
Marco teórico:
Máquina de estados
Se denomina máquina de estados finitos (FSM por finitestate machine) si el
conjunto de estados de la máquina es finito, este es el único tipo de máquinas de
estados que podemos modelar en un computador en la actualidad; debido a esto
se suelen utilizar los términos máquina de estados y máquina de estados finitos de
forma intercambiable. Sin embargo un ejemplo de una máquina de estados
infinitos sería un computador cuántico esto es debido a que los Qubit que utilizaría
este tipo de computadores toma valores continuos, en contraposición los bits
toman valores discretos (0 ó 1). Otro buen ejemplo de una máquina de estados
infinitos es una Máquina universal de Turing la cual se puede definir teóricamente
con una "cinta" o memoria infinita.
La representación de una máquina de estados se realiza mediante un Diagrama
de estados, sin embargo también es posible utilizar un Diagrama de flujo.
Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.

Procedimiento:
Se crea nuevo proyecto en aldec HDL
Se crea un diagrama de estados
Diagrama de estados maquina despachadora
EM

Entity : maq
Architecture: maq_arch

RM
R
A

SM
S5

m clk

SR
SA

J

M 15

SJ

A='1'
R='1'

Sreg0
J='1'

mclk
ce

M5
M 10

SM <='0';S5<='0';SR<='0';SA<='0';
SJ<='0';M 5<='0';M 10<='0';M 15<='0'

nom onedas
/000/

No clock enable

SM <='0';S5<='0';SR<='0';SA<='0';
SJ<='0';M 5<='1';M 10<='0';M 15<='0'
RM='1'
SM <='1'

EM='1'
A='1'

pesos5
/001/
RM='1'
RM='1'

SM <='1'

J='1'
SJ<='1'

SA<='1'

A='0' and J='0' and R='0'
and EM='0' and RM='0'
R='1'

SM <='1'
EM='1'

pesos10
/010/

J='1'

A='1'
SA<='1'

SR<='1'

SM <='0';S5<='0';SR<='0';SA<='0';
SJ<='0';M 5<='0';M 10<='1';M 15<='0'

SJ<='1'
A='0' and J='0' and R='0'
and EM='0' and RM='0'
EM='1'

pesos15
/100/
EM='1'
S5<='1'

SM <='0';S5<='0';SR<='0';SA<='0';
SJ<='0';M 5<='0';M 10<='0';M 15<='1'

A='0' and J='0' and R='0' and EM='0' and RM='0'
Reloj del sistema
libraryieee;
use ieee.std_logic_1164.all;
useieee.std_logic_unsigned.all;
entityclkdivis
port(
mclk: in std_logic;
clr: in std_logic;
clk48: outstd_logic
);
endclkdiv;
architectureclkdiv of clkdivis
signal q: std_logic_vector(23 downto 0);
begin
process(mclk, clr)
begin
ifclr='1' then
q <= x"000000";
elsifmclk'event and mclk ='1' then
q <= q + 1;
endif;
endprocess;
clk48 <= q(23);
endclkdiv;
Unión del circuito

U2
mclk

m c lk

U1
c lk 4 8

c lr

GND
clkdiv

A
EM
J

A

M10

EM

M15
M5

m c lk

R
RM

J

S5

R

SA

RM

SJ
SM
SR

M10
M15
M5
S5
SA
SJ
SM
SR

maq
Observaciones y conclusiones:
La máquina despachadora aceptaba las entradas y salidas de acuerdo a las operaciones que se le
programaron, realizando correctamente la función especificada en el diagrama de estados.
Aunque como el reloj era un poco lento algunas veces se llegaba a ignorar algún pulso de los
interruptores utilizados para la simulación, pero ese problema se corregía aumentando un poco
más la velocidad de reloj sin exceder el tiempo de duración de los pulsos en los interruptores.

Mais conteúdo relacionado

Destaque

La Adolescencia
La AdolescenciaLa Adolescencia
La AdolescenciaDavxr22
 
Cata De Vinos
Cata De VinosCata De Vinos
Cata De Vinosenroque17
 
Forum Wissenschaftskommunikation: GENial einfach! Genomforschung für Schüler...
Forum Wissenschaftskommunikation: GENial einfach!  Genomforschung für Schüler...Forum Wissenschaftskommunikation: GENial einfach!  Genomforschung für Schüler...
Forum Wissenschaftskommunikation: GENial einfach! Genomforschung für Schüler...SilkeArgo
 
Estrategia empresarial
Estrategia empresarialEstrategia empresarial
Estrategia empresarialJorge Llosa
 
Para la Corte, la ley de medios “favorece la libertad al impedir la concent...
 Para la Corte, la ley de medios “favorece  la libertad al impedir la concent... Para la Corte, la ley de medios “favorece  la libertad al impedir la concent...
Para la Corte, la ley de medios “favorece la libertad al impedir la concent...ali
 
Physikalische Chemie
Physikalische ChemiePhysikalische Chemie
Physikalische ChemieFrank Bölter
 
Linuxtag 2012: Supported Self-Administration
Linuxtag 2012: Supported Self-AdministrationLinuxtag 2012: Supported Self-Administration
Linuxtag 2012: Supported Self-Administrationakquinet
 
Cómo la nube nos ayuda a mejorar nuestra competitividad
Cómo la nube nos ayuda a mejorar nuestra competitividadCómo la nube nos ayuda a mejorar nuestra competitividad
Cómo la nube nos ayuda a mejorar nuestra competitividadSOFTENG
 
Presentación y novedades de Office 365- Jose Luis Cruz de Microsoft
Presentación y novedades de Office 365- Jose Luis Cruz de MicrosoftPresentación y novedades de Office 365- Jose Luis Cruz de Microsoft
Presentación y novedades de Office 365- Jose Luis Cruz de MicrosoftSOFTENG
 
Felices fiestas y 2013
Felices fiestas y 2013Felices fiestas y 2013
Felices fiestas y 2013Jorge Llosa
 
Die 10 Gebote
Die 10 GeboteDie 10 Gebote
Die 10 Gebotejohmaria
 

Destaque (20)

eDarling Test
eDarling TesteDarling Test
eDarling Test
 
Microfilmación
MicrofilmaciónMicrofilmación
Microfilmación
 
Cantare
CantareCantare
Cantare
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
La Adolescencia
La AdolescenciaLa Adolescencia
La Adolescencia
 
Nace una abuela
Nace una abuelaNace una abuela
Nace una abuela
 
Cata De Vinos
Cata De VinosCata De Vinos
Cata De Vinos
 
PAYASO
PAYASOPAYASO
PAYASO
 
Forum Wissenschaftskommunikation: GENial einfach! Genomforschung für Schüler...
Forum Wissenschaftskommunikation: GENial einfach!  Genomforschung für Schüler...Forum Wissenschaftskommunikation: GENial einfach!  Genomforschung für Schüler...
Forum Wissenschaftskommunikation: GENial einfach! Genomforschung für Schüler...
 
Estrategia empresarial
Estrategia empresarialEstrategia empresarial
Estrategia empresarial
 
Tornados Y Olas
Tornados Y OlasTornados Y Olas
Tornados Y Olas
 
Para la Corte, la ley de medios “favorece la libertad al impedir la concent...
 Para la Corte, la ley de medios “favorece  la libertad al impedir la concent... Para la Corte, la ley de medios “favorece  la libertad al impedir la concent...
Para la Corte, la ley de medios “favorece la libertad al impedir la concent...
 
Physikalische Chemie
Physikalische ChemiePhysikalische Chemie
Physikalische Chemie
 
Linuxtag 2012: Supported Self-Administration
Linuxtag 2012: Supported Self-AdministrationLinuxtag 2012: Supported Self-Administration
Linuxtag 2012: Supported Self-Administration
 
Cómo la nube nos ayuda a mejorar nuestra competitividad
Cómo la nube nos ayuda a mejorar nuestra competitividadCómo la nube nos ayuda a mejorar nuestra competitividad
Cómo la nube nos ayuda a mejorar nuestra competitividad
 
Wiky uno
Wiky unoWiky uno
Wiky uno
 
Presentación y novedades de Office 365- Jose Luis Cruz de Microsoft
Presentación y novedades de Office 365- Jose Luis Cruz de MicrosoftPresentación y novedades de Office 365- Jose Luis Cruz de Microsoft
Presentación y novedades de Office 365- Jose Luis Cruz de Microsoft
 
Liebe kartenlegung
Liebe kartenlegungLiebe kartenlegung
Liebe kartenlegung
 
Felices fiestas y 2013
Felices fiestas y 2013Felices fiestas y 2013
Felices fiestas y 2013
 
Die 10 Gebote
Die 10 GeboteDie 10 Gebote
Die 10 Gebote
 

Semelhante a Reporte vhdl8

Sistema control-digital[1]
Sistema control-digital[1]Sistema control-digital[1]
Sistema control-digital[1]alex246
 
Elber
ElberElber
ElberELBER
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)SANTIAGO PABLO ALBERTO
 
Tutorial SumoBOTCBR
Tutorial SumoBOTCBRTutorial SumoBOTCBR
Tutorial SumoBOTCBRJandres73
 
Control de motor trifasico con spwm
Control de motor trifasico con spwmControl de motor trifasico con spwm
Control de motor trifasico con spwmhenrytosco5
 
Control de posicion_de_un_balancin_con_m
Control de posicion_de_un_balancin_con_mControl de posicion_de_un_balancin_con_m
Control de posicion_de_un_balancin_con_mValter Soares
 
Proyecto final MODELADO DE ROBOTS UPC TABASCO
Proyecto final MODELADO DE ROBOTS UPC TABASCOProyecto final MODELADO DE ROBOTS UPC TABASCO
Proyecto final MODELADO DE ROBOTS UPC TABASCODave R Rdez
 
analisis-y-simulacion-de-sistema-discreto-con-matlab
 analisis-y-simulacion-de-sistema-discreto-con-matlab analisis-y-simulacion-de-sistema-discreto-con-matlab
analisis-y-simulacion-de-sistema-discreto-con-matlabalvaro valdivia casa
 
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...Christian Garcia Pechortinta
 
Practicasde controleselectricosing
Practicasde controleselectricosingPracticasde controleselectricosing
Practicasde controleselectricosingFernandavill
 
PRÁCTICA SOBRE SIMULADORES 2.pdf
PRÁCTICA SOBRE SIMULADORES 2.pdfPRÁCTICA SOBRE SIMULADORES 2.pdf
PRÁCTICA SOBRE SIMULADORES 2.pdfBLVCKHARMONY
 
Informe final de cintas transportadoras 4.asd
Informe final de cintas transportadoras 4.asdInforme final de cintas transportadoras 4.asd
Informe final de cintas transportadoras 4.asdcristianinacap2015
 
Informe N°3-Microcontroladores
Informe N°3-MicrocontroladoresInforme N°3-Microcontroladores
Informe N°3-MicrocontroladoresOmar Ruiz
 
Reporte de la practica 7
Reporte de la practica 7Reporte de la practica 7
Reporte de la practica 7Fanniie YeYe
 

Semelhante a Reporte vhdl8 (20)

Sistema control-digital[1]
Sistema control-digital[1]Sistema control-digital[1]
Sistema control-digital[1]
 
Elber
ElberElber
Elber
 
Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)Electrónica digital: Maquina de estado finitas (FSM´s)
Electrónica digital: Maquina de estado finitas (FSM´s)
 
trabajo final
trabajo finaltrabajo final
trabajo final
 
Tutorial SumoBOTCBR
Tutorial SumoBOTCBRTutorial SumoBOTCBR
Tutorial SumoBOTCBR
 
Control de motor trifasico con spwm
Control de motor trifasico con spwmControl de motor trifasico con spwm
Control de motor trifasico con spwm
 
Control de posicion_de_un_balancin_con_m
Control de posicion_de_un_balancin_con_mControl de posicion_de_un_balancin_con_m
Control de posicion_de_un_balancin_con_m
 
Proyecto final MODELADO DE ROBOTS UPC TABASCO
Proyecto final MODELADO DE ROBOTS UPC TABASCOProyecto final MODELADO DE ROBOTS UPC TABASCO
Proyecto final MODELADO DE ROBOTS UPC TABASCO
 
analisis-y-simulacion-de-sistema-discreto-con-matlab
 analisis-y-simulacion-de-sistema-discreto-con-matlab analisis-y-simulacion-de-sistema-discreto-con-matlab
analisis-y-simulacion-de-sistema-discreto-con-matlab
 
Tarea4 09 sol
Tarea4 09 solTarea4 09 sol
Tarea4 09 sol
 
Texto3
Texto3Texto3
Texto3
 
Tarea3 08 sol+maqpapel
Tarea3 08 sol+maqpapelTarea3 08 sol+maqpapel
Tarea3 08 sol+maqpapel
 
Tarea3 08 sol+maqpapel
Tarea3 08 sol+maqpapelTarea3 08 sol+maqpapel
Tarea3 08 sol+maqpapel
 
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...
CALCULO DE LOS ESFUERZOS Y REACCIONES EN CADA ZONA DE UNA ESTRUCTURA METALICA...
 
Practicasde controleselectricosing
Practicasde controleselectricosingPracticasde controleselectricosing
Practicasde controleselectricosing
 
PRÁCTICA SOBRE SIMULADORES 2.pdf
PRÁCTICA SOBRE SIMULADORES 2.pdfPRÁCTICA SOBRE SIMULADORES 2.pdf
PRÁCTICA SOBRE SIMULADORES 2.pdf
 
Mq motores i
Mq motores iMq motores i
Mq motores i
 
Informe final de cintas transportadoras 4.asd
Informe final de cintas transportadoras 4.asdInforme final de cintas transportadoras 4.asd
Informe final de cintas transportadoras 4.asd
 
Informe N°3-Microcontroladores
Informe N°3-MicrocontroladoresInforme N°3-Microcontroladores
Informe N°3-Microcontroladores
 
Reporte de la practica 7
Reporte de la practica 7Reporte de la practica 7
Reporte de la practica 7
 

Mais de Miguel Angel Peña

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Miguel Angel Peña
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionMiguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO QMiguel Angel Peña
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y forMiguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Miguel Angel Peña
 

Mais de Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl3
Reporte vhdl3Reporte vhdl3
Reporte vhdl3
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Reporte vhdl6
Reporte vhdl6Reporte vhdl6
Reporte vhdl6
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 
Practicca i v
Practicca i vPracticca i v
Practicca i v
 

Último

LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxLINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxdanalikcruz2000
 
Fundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfFundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfsamyarrocha1
 
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxMonitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxJUANCARLOSAPARCANARE
 
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfTarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfManuel Molina
 
CIENCIAS NATURALES 4 TO ambientes .docx
CIENCIAS NATURALES 4 TO  ambientes .docxCIENCIAS NATURALES 4 TO  ambientes .docx
CIENCIAS NATURALES 4 TO ambientes .docxAgustinaNuez21
 
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfMapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfvictorbeltuce
 
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO YESSENIA 933623393 NUEV...
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO  YESSENIA 933623393 NUEV...IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO  YESSENIA 933623393 NUEV...
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO YESSENIA 933623393 NUEV...YobanaZevallosSantil1
 
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfTema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfDaniel Ángel Corral de la Mata, Ph.D.
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024IES Vicent Andres Estelles
 
Los Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadLos Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadJonathanCovena1
 
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfLA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfNataliaMalky1
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdfOswaldoGonzalezCruz
 
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALVOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALEDUCCUniversidadCatl
 
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).ppt
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).pptPINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).ppt
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).pptAlberto Rubio
 
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptx
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptxc3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptx
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptxMartín Ramírez
 

Último (20)

LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptxLINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
LINEAMIENTOS INICIO DEL AÑO LECTIVO 2024-2025.pptx
 
Fundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdfFundamentos y Principios de Psicopedagogía..pdf
Fundamentos y Principios de Psicopedagogía..pdf
 
PPTX: La luz brilla en la oscuridad.pptx
PPTX: La luz brilla en la oscuridad.pptxPPTX: La luz brilla en la oscuridad.pptx
PPTX: La luz brilla en la oscuridad.pptx
 
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptxMonitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
Monitoreo a los coordinadores de las IIEE JEC_28.02.2024.vf.pptx
 
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdfTarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
Tarea 5_ Foro _Selección de herramientas digitales_Manuel.pdf
 
CIENCIAS NATURALES 4 TO ambientes .docx
CIENCIAS NATURALES 4 TO  ambientes .docxCIENCIAS NATURALES 4 TO  ambientes .docx
CIENCIAS NATURALES 4 TO ambientes .docx
 
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdfMapa Mental de estrategias de articulación de las areas curriculares.pdf
Mapa Mental de estrategias de articulación de las areas curriculares.pdf
 
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO YESSENIA 933623393 NUEV...
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO  YESSENIA 933623393 NUEV...IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO  YESSENIA 933623393 NUEV...
IV SES LUN 15 TUTO CUIDO MI MENTE CUIDANDO MI CUERPO YESSENIA 933623393 NUEV...
 
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdfTema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
Tema 8.- Gestion de la imagen a traves de la comunicacion de crisis.pdf
 
Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024Metabolismo 3: Anabolismo y Fotosíntesis 2024
Metabolismo 3: Anabolismo y Fotosíntesis 2024
 
Los Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la SostenibilidadLos Nueve Principios del Desempeño de la Sostenibilidad
Los Nueve Principios del Desempeño de la Sostenibilidad
 
La luz brilla en la oscuridad. Necesitamos luz
La luz brilla en la oscuridad. Necesitamos luzLa luz brilla en la oscuridad. Necesitamos luz
La luz brilla en la oscuridad. Necesitamos luz
 
Aedes aegypti + Intro to Coquies EE.pptx
Aedes aegypti + Intro to Coquies EE.pptxAedes aegypti + Intro to Coquies EE.pptx
Aedes aegypti + Intro to Coquies EE.pptx
 
TL/CNL – 2.ª FASE .
TL/CNL – 2.ª FASE                       .TL/CNL – 2.ª FASE                       .
TL/CNL – 2.ª FASE .
 
DIA INTERNACIONAL DAS FLORESTAS .
DIA INTERNACIONAL DAS FLORESTAS         .DIA INTERNACIONAL DAS FLORESTAS         .
DIA INTERNACIONAL DAS FLORESTAS .
 
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdfLA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
LA OVEJITA QUE VINO A CENAR CUENTO INFANTIL.pdf
 
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
5° SEM29 CRONOGRAMA PLANEACIÓN DOCENTE DARUKEL 23-24.pdf
 
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMALVOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
VOLUMEN 1 COLECCION PRODUCCION BOVINA . SERIE SANIDAD ANIMAL
 
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).ppt
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).pptPINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).ppt
PINTURA ITALIANA DEL CINQUECENTO (SIGLO XVI).ppt
 
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptx
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptxc3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptx
c3.hu3.p1.p2.El ser humano y el sentido de su existencia.pptx
 

Reporte vhdl8

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 28 de Octubre del 2013
  • 2. Practica 8 Objetivo: Esta práctica implementará el funcionamiento de una maquina despachadora de bebidas. Marco teórico: Máquina de estados Se denomina máquina de estados finitos (FSM por finitestate machine) si el conjunto de estados de la máquina es finito, este es el único tipo de máquinas de estados que podemos modelar en un computador en la actualidad; debido a esto se suelen utilizar los términos máquina de estados y máquina de estados finitos de forma intercambiable. Sin embargo un ejemplo de una máquina de estados infinitos sería un computador cuántico esto es debido a que los Qubit que utilizaría este tipo de computadores toma valores continuos, en contraposición los bits toman valores discretos (0 ó 1). Otro buen ejemplo de una máquina de estados infinitos es una Máquina universal de Turing la cual se puede definir teóricamente con una "cinta" o memoria infinita. La representación de una máquina de estados se realiza mediante un Diagrama de estados, sin embargo también es posible utilizar un Diagrama de flujo. Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL Se crea un diagrama de estados
  • 3. Diagrama de estados maquina despachadora EM Entity : maq Architecture: maq_arch RM R A SM S5 m clk SR SA J M 15 SJ A='1' R='1' Sreg0 J='1' mclk ce M5 M 10 SM <='0';S5<='0';SR<='0';SA<='0'; SJ<='0';M 5<='0';M 10<='0';M 15<='0' nom onedas /000/ No clock enable SM <='0';S5<='0';SR<='0';SA<='0'; SJ<='0';M 5<='1';M 10<='0';M 15<='0' RM='1' SM <='1' EM='1' A='1' pesos5 /001/ RM='1' RM='1' SM <='1' J='1' SJ<='1' SA<='1' A='0' and J='0' and R='0' and EM='0' and RM='0' R='1' SM <='1' EM='1' pesos10 /010/ J='1' A='1' SA<='1' SR<='1' SM <='0';S5<='0';SR<='0';SA<='0'; SJ<='0';M 5<='0';M 10<='1';M 15<='0' SJ<='1' A='0' and J='0' and R='0' and EM='0' and RM='0' EM='1' pesos15 /100/ EM='1' S5<='1' SM <='0';S5<='0';SR<='0';SA<='0'; SJ<='0';M 5<='0';M 10<='0';M 15<='1' A='0' and J='0' and R='0' and EM='0' and RM='0'
  • 4. Reloj del sistema libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entityclkdivis port( mclk: in std_logic; clr: in std_logic; clk48: outstd_logic ); endclkdiv; architectureclkdiv of clkdivis signal q: std_logic_vector(23 downto 0); begin process(mclk, clr) begin ifclr='1' then q <= x"000000"; elsifmclk'event and mclk ='1' then q <= q + 1; endif; endprocess; clk48 <= q(23); endclkdiv;
  • 5. Unión del circuito U2 mclk m c lk U1 c lk 4 8 c lr GND clkdiv A EM J A M10 EM M15 M5 m c lk R RM J S5 R SA RM SJ SM SR M10 M15 M5 S5 SA SJ SM SR maq Observaciones y conclusiones: La máquina despachadora aceptaba las entradas y salidas de acuerdo a las operaciones que se le programaron, realizando correctamente la función especificada en el diagrama de estados. Aunque como el reloj era un poco lento algunas veces se llegaba a ignorar algún pulso de los interruptores utilizados para la simulación, pero ese problema se corregía aumentando un poco más la velocidad de reloj sin exceder el tiempo de duración de los pulsos en los interruptores.