SlideShare a Scribd company logo
1 of 27
Download to read offline
http://www.bized.co.uk




                 Start Group tutorial [2]


Prepared by
          Alaa Salah Shehata
          Mahmoud A. M. Abd El Latif
          Mohamed Mohamed Tala’t
          Mohamed Salah Mahmoud

                                             Version 02 – October 2011
                                          Copyright 2006 – Biz/ed
http://www.bized.co.uk




Outline   -Using Xilinx IP Cores
          -ISIM Simulator
          -Language Templates
                                             B

                                                 2
                                   Copyright 2006 – Biz/ed
http://www.bized.co.uk




Using Xilinx IP Cores




                     Copyright 2006 – Biz/ed
tutorial

                                                                   http://www.bized.co.uk

Why IP cores

 An IP (intellectual property) core is a block of logic or data that is used in FPGAs or ASIC
 for a product.

 Features

 1-Repeated use of previously designed components.
 2-Portable that is able to easily be inserted into any design methodology.




                                                                                     4
                                                                       Copyright 2006 – Biz/ed
tutorial

                                             http://www.bized.co.uk

First Step              Generating IP Core




                                                              5
                                                Copyright 2006 – Biz/ed
tutorial

           http://www.bized.co.uk




                            6
              Copyright 2006 – Biz/ed
tutorial

                                             http://www.bized.co.uk




In the IP selection window, find the IP to
customize.


                                                              7
                                                Copyright 2006 – Biz/ed
tutorial

                                 http://www.bized.co.uk




           For information about the customization
           options, click the Data Sheet button in the
           core customization GUI. The data sheet that
           appears explains all of the options.




                                                   8
                                     Copyright 2006 – Biz/ed
tutorial

                                           http://www.bized.co.uk




           Now, we generated an IP core and this core is on this
           project, before generating this code you must read the
           data sheet to deal with its GUI, know the timing diagram
           and pins I/O interface of this core.




                                                             9
                                               Copyright 2006 – Biz/ed
tutorial

             http://www.bized.co.uk




Data Sheet

                             10
                Copyright 2006 – Biz/ed
tutorial

                                  http://www.bized.co.uk

Second Step       Using IP Core




                                                  11
                                     Copyright 2006 – Biz/ed
tutorial

                                                             http://www.bized.co.uk

library IEEE;
                                   Now, we will make a project that adds two
use IEEE.STD_LOGIC_1164.ALL;       numbers using this IP core.
                                   VHDL code
entity add is
         port (
         in1      :   IN std_logic_VECTOR(14 downto 0);
         in2      :   IN std_logic_VECTOR(14 downto 0);
         clk      :   IN std_logic;
         enable   :   IN std_logic;
         result   :   OUT std_logic_VECTOR(15 downto 0));
end entity;

architecture Behavioral of add is

component adder_core
         port (
         a: IN std_logic_VECTOR(14 downto 0);
         b: IN std_logic_VECTOR(14 downto 0);
         clk: IN std_logic;
         ce: IN std_logic;
         s: OUT std_logic_VECTOR(15 downto 0));
end component;



                                                                             12
                                                                Copyright 2006 – Biz/ed
tutorial

                                          http://www.bized.co.uk


Begin

your_instance_name : adder_core
                 port map (
                          a => in1,
                          b => in2,
                          clk => clk,
                          ce => enable,
                          s => result);

end Behavioral;




                                                          13
                                             Copyright 2006 – Biz/ed
tutorial

                                                    http://www.bized.co.uk

Third Step                   Simulating IP Core




Click the device icon ..in Design Utilities click
on compile HDL Simulation libraries.

For simulation on Modelsim you should
generate some libraries for the ip core.




                                                                    14
                                                       Copyright 2006 – Biz/ed
http://www.bized.co.uk




[ISIM] ISE Simulator




                     Copyright 2006 – Biz/ed
tutorial

                   http://www.bized.co.uk

ISIM Simulator




                                   16
                      Copyright 2006 – Biz/ed
tutorial

                   http://www.bized.co.uk

ISIM Simulator




                                   17
                      Copyright 2006 – Biz/ed
tutorial

                   http://www.bized.co.uk

ISIM Simulator




                                   18
                      Copyright 2006 – Biz/ed
tutorial

                   http://www.bized.co.uk

ISIM Simulator




                                   19
                      Copyright 2006 – Biz/ed
tutorial

                   http://www.bized.co.uk

ISIM Simulator




                                   20
                      Copyright 2006 – Biz/ed
tutorial

                                             http://www.bized.co.uk



Download ISIM tutorial from Xilinx




http://www.xilinx.com/support/documentation/sw_manuals/xil
inx11/ug682.pdf




                                                             21
                                                Copyright 2006 – Biz/ed
http://www.bized.co.uk




Language Templates




                  Copyright 2006 – Biz/ed
tutorial

                                                                   http://www.bized.co.uk

Language Templates




 The ISE Language Templates provide predefined pieces of code and code syntax for
 use in your source files.

 These templates enable easy insertion of pre-built text structures into your VHDL file.

 Select Edit > Language Templates, or click the Language Templates toolbar button
 shown .



                                                                                    23
                                                                       Copyright 2006 – Biz/ed
tutorial

                                                              http://www.bized.co.uk

Language Templates




                  Click the plus (+) icon to expand the folders until you find the
                  template you want to use.
                  Select the template to display it in the right pane.
                  Insert the code in your source file.




                                                                               24
                                                                  Copyright 2006 – Biz/ed
tutorial

                                            http://www.bized.co.uk

Language Templates
 you can create your own custom templates
 as follows.

 1-Select the User Templates folder.
 2-Right Click : New Folder
           Type a name for your folder.
 3-Right Click : New Template.
           Type a name for your template.




                                                            25
                                               Copyright 2006 – Biz/ed
tutorial

                                             http://www.bized.co.uk

Language Templates
 4-Add your code to the right pane of the
 Language Templates window.

 5-Right Click on the template name : Save
 Template.

 6-Note To remove a template, select the
 template, and click the Delete toolbar
 button .




                                                             26
                                                Copyright 2006 – Biz/ed
tutorial

                       http://www.bized.co.uk




See You Next Session




                                       27
                          Copyright 2006 – Biz/ed

More Related Content

Similar to Start group tutorial [2]

Defcon 22 - Stitching numbers - generating rop payloads from in memory numbers
Defcon 22 - Stitching numbers - generating rop payloads from in memory numbersDefcon 22 - Stitching numbers - generating rop payloads from in memory numbers
Defcon 22 - Stitching numbers - generating rop payloads from in memory numbers
Alexandre Moneger
 
Notebook-based AI Pipelines with Elyra and Kubeflow
Notebook-based AI Pipelines with Elyra and KubeflowNotebook-based AI Pipelines with Elyra and Kubeflow
Notebook-based AI Pipelines with Elyra and Kubeflow
Nick Pentreath
 

Similar to Start group tutorial [2] (20)

Intrduction To The Course
Intrduction To The  CourseIntrduction To The  Course
Intrduction To The Course
 
Intrduction to the course
Intrduction to the courseIntrduction to the course
Intrduction to the course
 
CDI Integration in OSGi - Emily Jiang
CDI Integration in OSGi - Emily JiangCDI Integration in OSGi - Emily Jiang
CDI Integration in OSGi - Emily Jiang
 
Strata - Scaling Jupyter with Jupyter Enterprise Gateway
Strata - Scaling Jupyter with Jupyter Enterprise GatewayStrata - Scaling Jupyter with Jupyter Enterprise Gateway
Strata - Scaling Jupyter with Jupyter Enterprise Gateway
 
SPI Drivers
SPI DriversSPI Drivers
SPI Drivers
 
Defcon 22 - Stitching numbers - generating rop payloads from in memory numbers
Defcon 22 - Stitching numbers - generating rop payloads from in memory numbersDefcon 22 - Stitching numbers - generating rop payloads from in memory numbers
Defcon 22 - Stitching numbers - generating rop payloads from in memory numbers
 
Scalable Cloud-Native Masterless Puppet, with PuppetDB and Bolt, Craig Watson...
Scalable Cloud-Native Masterless Puppet, with PuppetDB and Bolt, Craig Watson...Scalable Cloud-Native Masterless Puppet, with PuppetDB and Bolt, Craig Watson...
Scalable Cloud-Native Masterless Puppet, with PuppetDB and Bolt, Craig Watson...
 
SAN_Module3_Part1_PPTs.pptx
SAN_Module3_Part1_PPTs.pptxSAN_Module3_Part1_PPTs.pptx
SAN_Module3_Part1_PPTs.pptx
 
OpenCV acceleration battle:OpenCL on Firefly-RK3288(MALI-T764) vs. FPGA on Ze...
OpenCV acceleration battle:OpenCL on Firefly-RK3288(MALI-T764) vs. FPGA on Ze...OpenCV acceleration battle:OpenCL on Firefly-RK3288(MALI-T764) vs. FPGA on Ze...
OpenCV acceleration battle:OpenCL on Firefly-RK3288(MALI-T764) vs. FPGA on Ze...
 
Session two
Session twoSession two
Session two
 
SPI Drivers
SPI DriversSPI Drivers
SPI Drivers
 
TechWiseTV Workshop: Application Hosting on Catalyst 9000 Series Switches
TechWiseTV Workshop: Application Hosting on Catalyst 9000 Series SwitchesTechWiseTV Workshop: Application Hosting on Catalyst 9000 Series Switches
TechWiseTV Workshop: Application Hosting on Catalyst 9000 Series Switches
 
CODE BLUE 2014 : Persisted: The active use and exploitation of Microsoft's Ap...
CODE BLUE 2014 : Persisted: The active use and exploitation of Microsoft's Ap...CODE BLUE 2014 : Persisted: The active use and exploitation of Microsoft's Ap...
CODE BLUE 2014 : Persisted: The active use and exploitation of Microsoft's Ap...
 
Securing Containers - Sathyajit Bhat - Adobe
Securing Containers - Sathyajit Bhat - AdobeSecuring Containers - Sathyajit Bhat - Adobe
Securing Containers - Sathyajit Bhat - Adobe
 
Docker Compose Setup for MySQL InnoDB Cluster
Docker Compose Setup for MySQL InnoDB ClusterDocker Compose Setup for MySQL InnoDB Cluster
Docker Compose Setup for MySQL InnoDB Cluster
 
Inteligencia artificial, open source e IBM Call for Code
Inteligencia artificial, open source e IBM Call for CodeInteligencia artificial, open source e IBM Call for Code
Inteligencia artificial, open source e IBM Call for Code
 
Easing the Path to Network Transformation - Network Transformation Experience...
Easing the Path to Network Transformation - Network Transformation Experience...Easing the Path to Network Transformation - Network Transformation Experience...
Easing the Path to Network Transformation - Network Transformation Experience...
 
Enterprise Applications With OSGi and SpringSource dm Server
Enterprise Applications With OSGi and SpringSource dm ServerEnterprise Applications With OSGi and SpringSource dm Server
Enterprise Applications With OSGi and SpringSource dm Server
 
Notebook-based AI Pipelines with Elyra and Kubeflow
Notebook-based AI Pipelines with Elyra and KubeflowNotebook-based AI Pipelines with Elyra and Kubeflow
Notebook-based AI Pipelines with Elyra and Kubeflow
 
Lab Handson: Power your Creations with Intel Edison!
Lab Handson: Power your Creations with Intel Edison!Lab Handson: Power your Creations with Intel Edison!
Lab Handson: Power your Creations with Intel Edison!
 

More from Mahmoud Abdellatif (8)

Evaluation test
Evaluation testEvaluation test
Evaluation test
 
Session nine
Session nineSession nine
Session nine
 
Session eight
Session eightSession eight
Session eight
 
Session seven
Session sevenSession seven
Session seven
 
Session six
Session sixSession six
Session six
 
Session five
Session fiveSession five
Session five
 
Session four
Session fourSession four
Session four
 
Session three
Session threeSession three
Session three
 

Recently uploaded

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 

Recently uploaded (20)

Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
CNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In PakistanCNIC Information System with Pakdata Cf In Pakistan
CNIC Information System with Pakdata Cf In Pakistan
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUKSpring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 

Start group tutorial [2]

  • 1. http://www.bized.co.uk Start Group tutorial [2] Prepared by Alaa Salah Shehata Mahmoud A. M. Abd El Latif Mohamed Mohamed Tala’t Mohamed Salah Mahmoud Version 02 – October 2011 Copyright 2006 – Biz/ed
  • 2. http://www.bized.co.uk Outline -Using Xilinx IP Cores -ISIM Simulator -Language Templates B 2 Copyright 2006 – Biz/ed
  • 3. http://www.bized.co.uk Using Xilinx IP Cores Copyright 2006 – Biz/ed
  • 4. tutorial http://www.bized.co.uk Why IP cores An IP (intellectual property) core is a block of logic or data that is used in FPGAs or ASIC for a product. Features 1-Repeated use of previously designed components. 2-Portable that is able to easily be inserted into any design methodology. 4 Copyright 2006 – Biz/ed
  • 5. tutorial http://www.bized.co.uk First Step Generating IP Core 5 Copyright 2006 – Biz/ed
  • 6. tutorial http://www.bized.co.uk 6 Copyright 2006 – Biz/ed
  • 7. tutorial http://www.bized.co.uk In the IP selection window, find the IP to customize. 7 Copyright 2006 – Biz/ed
  • 8. tutorial http://www.bized.co.uk For information about the customization options, click the Data Sheet button in the core customization GUI. The data sheet that appears explains all of the options. 8 Copyright 2006 – Biz/ed
  • 9. tutorial http://www.bized.co.uk Now, we generated an IP core and this core is on this project, before generating this code you must read the data sheet to deal with its GUI, know the timing diagram and pins I/O interface of this core. 9 Copyright 2006 – Biz/ed
  • 10. tutorial http://www.bized.co.uk Data Sheet 10 Copyright 2006 – Biz/ed
  • 11. tutorial http://www.bized.co.uk Second Step Using IP Core 11 Copyright 2006 – Biz/ed
  • 12. tutorial http://www.bized.co.uk library IEEE; Now, we will make a project that adds two use IEEE.STD_LOGIC_1164.ALL; numbers using this IP core. VHDL code entity add is port ( in1 : IN std_logic_VECTOR(14 downto 0); in2 : IN std_logic_VECTOR(14 downto 0); clk : IN std_logic; enable : IN std_logic; result : OUT std_logic_VECTOR(15 downto 0)); end entity; architecture Behavioral of add is component adder_core port ( a: IN std_logic_VECTOR(14 downto 0); b: IN std_logic_VECTOR(14 downto 0); clk: IN std_logic; ce: IN std_logic; s: OUT std_logic_VECTOR(15 downto 0)); end component; 12 Copyright 2006 – Biz/ed
  • 13. tutorial http://www.bized.co.uk Begin your_instance_name : adder_core port map ( a => in1, b => in2, clk => clk, ce => enable, s => result); end Behavioral; 13 Copyright 2006 – Biz/ed
  • 14. tutorial http://www.bized.co.uk Third Step Simulating IP Core Click the device icon ..in Design Utilities click on compile HDL Simulation libraries. For simulation on Modelsim you should generate some libraries for the ip core. 14 Copyright 2006 – Biz/ed
  • 15. http://www.bized.co.uk [ISIM] ISE Simulator Copyright 2006 – Biz/ed
  • 16. tutorial http://www.bized.co.uk ISIM Simulator 16 Copyright 2006 – Biz/ed
  • 17. tutorial http://www.bized.co.uk ISIM Simulator 17 Copyright 2006 – Biz/ed
  • 18. tutorial http://www.bized.co.uk ISIM Simulator 18 Copyright 2006 – Biz/ed
  • 19. tutorial http://www.bized.co.uk ISIM Simulator 19 Copyright 2006 – Biz/ed
  • 20. tutorial http://www.bized.co.uk ISIM Simulator 20 Copyright 2006 – Biz/ed
  • 21. tutorial http://www.bized.co.uk Download ISIM tutorial from Xilinx http://www.xilinx.com/support/documentation/sw_manuals/xil inx11/ug682.pdf 21 Copyright 2006 – Biz/ed
  • 22. http://www.bized.co.uk Language Templates Copyright 2006 – Biz/ed
  • 23. tutorial http://www.bized.co.uk Language Templates The ISE Language Templates provide predefined pieces of code and code syntax for use in your source files. These templates enable easy insertion of pre-built text structures into your VHDL file. Select Edit > Language Templates, or click the Language Templates toolbar button shown . 23 Copyright 2006 – Biz/ed
  • 24. tutorial http://www.bized.co.uk Language Templates Click the plus (+) icon to expand the folders until you find the template you want to use. Select the template to display it in the right pane. Insert the code in your source file. 24 Copyright 2006 – Biz/ed
  • 25. tutorial http://www.bized.co.uk Language Templates you can create your own custom templates as follows. 1-Select the User Templates folder. 2-Right Click : New Folder Type a name for your folder. 3-Right Click : New Template. Type a name for your template. 25 Copyright 2006 – Biz/ed
  • 26. tutorial http://www.bized.co.uk Language Templates 4-Add your code to the right pane of the Language Templates window. 5-Right Click on the template name : Save Template. 6-Note To remove a template, select the template, and click the Delete toolbar button . 26 Copyright 2006 – Biz/ed
  • 27. tutorial http://www.bized.co.uk See You Next Session 27 Copyright 2006 – Biz/ed