SlideShare a Scribd company logo
1 of 87
By
V. THULASIKANTH
Assistant Professor
Mechanical Engineering Department
vtkvsk@gmail.com
1
Fabrication of Micro Electronic Devices
Fabrication of Micro Electronic Devices
This chapter presents the science and the technologies involved in the
production of integrated circuits, a product that has fundamentally changed our
society.
The chapter begins by examining silicon, the preferred material for most
integrated circuits, and its unique properties that make it attractive.
Typical parts produced: Computer processors, memory chips, printed circuit
boards, and integrated circuits of all types.
Thulasikanth AP(OG), SRM University
Microelectronics has played an increasing role in our lives ever since
integrated circuit (IC) technology became the foundation for calculators, Wrist
watches, controls for home appliances and automobiles, information systems,
telecommunications, robotics, space travel, Weaponry, and personal
computers.
The major advantages of today’s ICs are their very small size and low cost.
As fabrication technology becomes more advanced, the size of devices made
(such as transistors, diodes, resistors, and capacitors) continues to decrease.
Consequently, more components can be put onto a chip-a small piece of
semiconducting material on which the circuit is fabricated.
In addition, mass production and automation have helped reduce the cost of
each completed circuit.
Typical chips produced today have sizes that are as small as 0.5 x 0.5 mm and,
in rare cases, can be more than 50 x50 mm.
Thulasikanth AP(OG), SRM University
This magnitude of integration has been termed very large scale integration
(VLSI).
A microprocessor is a single, very-large-scale-integration (VLSI) chip that
contains many digital circuits that perform arithmetic, logic, communication,
and control functions.
Some of the most advanced ICs may contain more than 100 million devices,
termed ultralarge-scale integration (ULSI).
The Intel Itanium® processors, for example, recently surpassed 2 billion
transistors. More recent advances include wafer-scale integration (WSI), in
which an entire silicon Wafer is used to build a single device.
This approach has been of greatest interest in the design of massively parallel
supercomputers, including three-dimensional integrated circuits, (3DICs) Which
use multiple layers of active circuits that maintain connections both
horizontally and vertically.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Clean Rooms
Clean rooms are essential for the production of most integrated circuits
Integrated circuits are typically a few millimeters in length, and the smallest
features in a transistor on the circuit may be as small as a few tens of
nanometers.
This size range is smaller than particles that we don’t normally consider
harmful, such as dust, smoke, perfume, and bacteria.
However, if these contaminants are present on a silicon wafer during
processing, they can seriously compromise the performance of the entire
device.
Thus, it is essential that all of the potentially harmful particles be eliminated
from the IC manufacturing environment.
There are various levels of clean rooms, which are defined by the class of the
room. The classification system refers to the number of 0.5µm or larger
particles within a cubic metre of air.
Thulasikanth AP(OG), SRM University
Class-0.35 clean room has 0.35 x103
or fewer such particles per cubic metre.
To obtain controlled atmospheres that are free from particulate contamination,
all Ventilating air is passed through a high-effeciancy particulate air (HEPA)
filter. In addition, the air usually is conditioned so that it is at 21°C and 45%
relative humidity.
Thulasikanth AP(OG), SRM University
Semiconductors and Silicon
As the name suggests, semiconductor materials have electrical properties that
lie between those of conductors and insulators and that exhibit resistivities
between 1O-3
and 108
ohm-cm.
Semiconductors have become the foundation for electronic devices because
their electrical properties can be altered when controlled amounts of selected
impurity atoms are added to their crystal structures.
These impurity atoms, also known as dopants.
The electrical operation of semiconductor devices can be controlled through
the creation of regions with different doping types and concentrations.
Although the earliest electronic devices were fabricated on germanium, silicon
has become the industry standard.
Thulasikanth AP(OG), SRM University
The abundance of alternative forms of silicon in the Earth is second only to that
of oxygen, making it attractive economically.
Silicon’s main advantage over germanium is its large energy gap (1.1 eV)
compared with that of germanium (0.66 eV).
This energy gap allows silicon-based devices to operate at temperatures of
about 150°C higher than devices fabricated on germanium, which operate at
about 100°C.
Another important processing advantage of silicon is that its oxide (silicon
dioxide, SiO2) is an excellent electrical insulator.
By contrast, germanium oxide is water soluble and unsuitable for electronic
devices.
Furthermore, the oxidized form of silicon allows the production of metal-oxide-
semiconductor (MOS) devices, which are the basis for MOS transistors.
These materials make up memory devices, processors, and the like, and are by
far the largest volume of semiconductor material produced worldwide.Thulasikanth AP(OG), SRM University
Structure of Silicon
Construction of a diamond-type
lattice from interpenetrating face-
centered cubic cells
Crystallographic structure of silicon will have diamond-type fcc structure,
along with the Miller indices of an fcc material.
Miller indices are a useful notation for identifying planes and directions within
a unit cell.
Thulasikanth AP(OG), SRM University
In spite of its advantages, silicon has a larger energy gap (1.1 eV) than
germanium oxide and, therefore, has a higher maximum operating temperature
(about 200°C).
This limitation has encouraged the development of compound
semiconductors, specifically gallium arsenide.
Its major advantage over silicon is its ability to emit light, thus allowing the
fabrication of devices such as lasers and light-emitting diodes (LEDs).
Devices fabricated on gallium arsenide also have much higher operating
speeds
than those fabricated on silicon.
Some of gallium arsenide’s disadvantages are its considerably higher cost,
greater processing complications, and, most critically, the difficulty of growing
high-quality oxide layers
Thulasikanth AP(OG), SRM University
Crystal Growing and Wafer Preparation
Silicon occurs naturally in the forms of silicon dioxide and various silicates.
It must, however, undergo a series of purification steps in order to become the
high-quality, defect-free, single-crystal material that is required for
semiconductor device fabrication.
The process begins by heating silica and carbon together in an electric furnace,
which results in a 95-to-98% pure polycrystalline silicon.
This material is converted to an alternative form, commonly trichlorosilane,
which in turn is purified and decomposed in a high-temperature hydrogen
atmosphere.
The resulting product is extremely high quality electronic-grade silicon (EGS).
Thulasikanth AP(OG), SRM University
Single-crystal silicon usually is obtained through the Czochralski, or CZ,
process.
The process utilizes a seed crystal that is dipped into a silicon melt and is then
pulled out slowly while being rotated.
At this point, controlled amounts of impurities can be added to obtain a
uniformly doped crystal.
The result of the CZ process is a cylindrical single-crystal ingot, typically 100 to
300 mm in diameter and over 1 m in length.
However, the technique does not allow for exact control of the ingot
diameter. Therefore, ingots commonly are grown a few millimeters larger than
the required size and are ground to a precise diameter.
Silicon wafers then are produced from silicon ingots by a sequence of
machining and finishing operations
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
(a) Sawing the ends off the ingot
(b) (b) grinding of the end and cylindrical surfaces of a silicon ingot
Thulasikanth AP(OG), SRM University
(c) Machining of a notch or flat;
(d) slicing of wafers
Thulasikanth AP(OG), SRM University
(e) end grinding of wafers;
(f) chemical-mechanical polishing of wafers.
Thulasikanth AP(OG), SRM University
The crystal is sliced into individual wafers by
using an inner-diameter diamond encrusted blade
In this method, a rotating, ring-shaped blade with
its cutting edge on the inner diameter is utilized.
The substrate depth required for most electronic
devices is no more than several microns, wafers
typically are cut to a thickness of about 0.5 mm.
The wafer is then ground along its edges with a diamond wheel.
This operation gives the Wafer a rounded profile that is more resistant to
chipping.
Finally, the wafers must be polished and cleaned to remove surface damage
caused by the sawing process.
This is commonly performed by chemical-mechanical polishing
Thulasikanth AP(OG), SRM University
In order to properly control the manufacturing process, it is important to
determine the orientation of the crystal in a Wafer.
Wafers, therefore, have notches or flats machined into them for identification.
Most commonly, the (100) or (111) plane of the crystal defines the Wafer
surface, although (110) surfaces also can be used for micromachining
applications.
Wafers are also identified by a laser scribe mark produced by the
manufacturer.
Laser scribing of information may take place on the front or on the back side of
the wafer.
The front side of some wafers has an exclusion edge area, 3 to 10 mm in size,
reserved for the scribe information, such as lot numbers, orientation, and a
unique Wafer identification code.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Device fabrication takes place over the entire Wafer surface.
Wafers are typically processed in lots of 25 or 50, with 150 to 200 mm
diameters each, or lots of 12 to 25 with 300-mm diameters each.
In this Way, they can be easily handled and transferred during processing
steps.
Because of the small device size and large Wafer diameter, thousands of
individual circuits can be placed on one Wafer.
Once processing is completed, the Wafer is sliced into individual chips, each
containing one complete integrated circuit.
At this point, the single-crystal silicon Wafer is ready for the fabrication of the
integrated circuit or device.
Fabrication takes place over the entire Wafer surface, and many chips are
produced at the same time
Thulasikanth AP(OG), SRM University
The number of chips that can be produced is dependent on the cross-sectional
area of the Wafer.
For this reason, a number of advanced-circuit manufacturers have moved
towards using larger single-crystal cylinders, and 300-mm diameter wafers now
have increasingly become common.
Thulasikanth AP(OG), SRM University
Film Deposition
Films of many different types are used extensively in microelectronic-device
processing, particularly insulating and conducting films.
Commonly deposited films include polysilicon, silicon nitride, silicon dioxide,
tungsten, titanium, and aluminum.
In some instances, the wafers merely serve as a mechanical support on which
custom epitaxial layers are grown.
Epitaxy. Defined as the growth of a vapor deposit, epitaxy (or
electrodeposit) occurs when the crystal orientation of the deposit is related
directly to the crystal orientation in the underlying crystalline substrate.
The advantages of processing on these deposited films, instead of on the
actual wafer surface, include fewer impurities (notably carbon and oxygen),
improved device performance, and the tailoring of material properties (which
cannot be done on the wafers themselves).
Thulasikanth AP(OG), SRM University
Some of the major functions of deposited films are masking and protecting the
semiconductor surface.
In masking applications, the film must inhibit the passage of dopants and
concurrently display an ability to be etched into patterns of high resolution.
Upon completion of device fabrication, films are applied to protect the
underlying circuitry.
Films used for masking and protecting include silicon dioxide, phosphosilicate
glass (PSG), and silicon nitride.
Each of these materials has distinct advantages, and they often are used in
combination.
Conductive films are used primarily for device interconnection.
These films must have a low resistivity, be capable of carrying large currents,
and be suitable for connection to terminal packaging leads with wire bonds.
Thulasikanth AP(OG), SRM University
Generally, aluminum and copper are used for this purpose.
Increasing circuit complexity has required up to six levels of conductive
layers, all of which must be separated by insulating films.
Film Deposition. Films may be deposited by a number of techniques, which
involve a variety of pressures, temperatures, and vacuum systems
1. EVAPORATION
ln this process, the metal is heated in a vacuum to its point of vaporization;
upon evaporation, the metal forms a thin layer on the substrate surface.
The heat for evaporation usually is generated by a heating filament or
electron beam.
Thulasikanth AP(OG), SRM University
2. Sputtering involves bombarding a target with high-energy ions (usually
argon, Ar+) in a vacuum.
Sputtering systems generally include a DC power source to produce the
energized ions.
As the ions impinge on the target, atoms are knocked off and subsequently
deposited on wafers mounted within the system some argon may be trapped
within the film, sputtering results in highly uniform coverage.
Advances in this field include using a radio-frequency power source (RF
sputtering) and introducing magnetic fields (magnetron sputtering).
3. CVD In one of the most common techniques, chemical-vapor deposition
(CVD), film is deposited by way of the reaction and/or decomposition of gaseous
compounds.
Using this technique, silicon dioxide is deposited routinely by the oxidation of
silane or a chlorosilane.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
4. Lowpressure chemical-vapor deposition (LPCVD)
Capable of coating hundreds of wafers at a time, this method results in a much
higher production rate than that of atmospheric-pressure CVD and provides
superior film uniformity with less consumption of carrier gases.
The technique is
commonly used for depositing polysilicon, silicon nitride, and silicon dioxide.
Thulasikanth AP(OG), SRM University
5. Plasma-enhanced chemical-vapor deposition (PECVD)
involves the processing of wafers in an RF plasma containing the source gases.
This method has the advantage of maintaining a low wafer temperature during
deposition.
Silicon epitaxy layers, in which the crystalline layer is formed using the
substrate as a seed crystal, can be grown by a variety of methods.
If the silicon is deposited from the gaseous phase, the process is known as
vapor-phase epitaxy (VPE).
In another variation, the heated substrate is brought into Contact with a liquid
solution containing the material to be deposited, a process called liquid-phase
epitaxy (LPE).
Another high-vacuum process utilizes evaporation to produce a thermal beam
of molecules that are deposited on the heated substrate, called molecular-beam
epitaxy (MBE), this process results in a very high degree of purity.
Thulasikanth AP(OG), SRM University
In addition, since the films are grown one atomic layer at a time, it is possible to
have excellent control over doping profiles.
This level of control is important especially in gallium-arsenide technology.
However, the MBE process has relatively low growth rates as compared to other
conventional film-deposition techniques.
Thulasikanth AP(OG), SRM University
Oxidation
The term oxidation refers to the growth of an oxide layer as a result of the
reaction of oxygen with the substrate material.
Oxide films also can be formed by ion implantation an diffusion methods.
Thermally grown oxides, described in this section, display a higher level of
purity than deposited oxides, because they are grown directly from the high-
quality substrate.
However, deposition methods must be used if the composition of the desired
film is different from that of the substrate material.
Silicon dioxide is the most widely used oxide in IC technology today, and its
excellent characteristics are one of the major reasons for the widespread use of
silicon.
Aside from its effectiveness in dopant masking and device isolation, silicon
dioxide’s most critical role is that of the “gate oxide” material.Thulasikanth AP(OG), SRM University
Silicon surfaces have an extremely high affinity for oxygen, and a freshly sawed slice of
silicon will grow a native oxide of 30 to 40 A0
thickness (A0
= 1O-7
mm) quickly.
Thulasikanth AP(OG), SRM University
Dry oxidation is accomplished by elevating the substrate temperature,
typically to about 750° to 1100°C, in an oxygen-rich environment.
As a layer of oxide forms, the oxidizing agents must be able to pass through the
oxide and reach the silicon surface, where the actual reaction takes place.
Thus, an oxide layer does not continue to grow on top of itself, but rather, it
grows from the silicon surface outward. Some of the silicon substrate is
consumed in the oxidation process.
The ratio of oxide thickness to the amount of silicon consumed is found to be
1:0.44. Thus, to obtain an oxide layer 1000 thick, approximately 440 A0
of silicon will be consumed.
Important effect of the consumption of silicon is the rearrangement of dopants
in the substrate near the interface.
Because different impurities have different segregation coefficients or
mobilities in silicon dioxide, some dopants become depleted away from the
oxide interface while others pile up there.Thulasikanth AP(OG), SRM University
Consequently, processing parameters must be adjusted to compensate for this effect.
Wet oxidation utilizes a water-vapor atmosphere as the agent.
This method results in a considerably higher growth rate than that of dry
oxidation, but it suffers from a lower oxide density and, therefore, a lower
dielectric strength.
The common practice in industry is to combine both dry and wet oxidation
methods by growing an oxide in a three-part layer: dry-wet-dry.
This approach combines the advantages of wet oxidation’s much higher
growth rate and dry oxidation’s high quality.
The two oxidation methods are useful primarily for coating the entire silicon
surface with oxide; however, it also may be necessary to oxidize only certain
portions of the surface.
The procedure of oxidizing only certain areas is called selective oxidation
and uses silicon nitride, which inhibits the passage of oxygen and water
vapor.
Thus, by covering certain areas with silicon nitride, the silicon under these
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Lithography
Lithography is the process by which the geometric patterns that define
devices are transferred to the substrate surface.
An IC consists of many microscopic regions on the wafer surface that make
up the transistors, other devices, and intraconnections in the circuit design.
In the planar process, the regions are fabricated by a sequence of steps to
add layers to selected areas of the surface.
The form of each layer is determined by a geometric pattern representing
circuit design information that is transferred to the wafer surface by a
procedure known as lithography—basically the same procedure used by artists
and printers for centuries.
There are many forms of lithography, but the most common form used
today is photolithography.
Electron-beam and X-ray lithography are of great interest because of their
ability to transfer patterns of higher resolution, which is a necessary feature
for the increased miniaturization of integrated circuits..Thulasikanth AP(OG), SRM University
Photolithography
Photolithography uses a reticle, also called a photomask or mask, which is a
glass or quartz plate with a pattern of the chip deposited onto it with a
chromium film.
The reticle image can be the same size as the desired structure on the chip,
but it is often an enlarged image, usually 5x to 20x larger, although 10x
magnification is the most common.
Enlarged images then are focused onto a Wafer through a lens system; this
operation is referred to as reduction lithography.
In current practice, the lithographic process is applied to each microelectronic
circuit as many as 25 times-each time using a different reticle to define the
different areas of the working devices.
Typically designed at several thousand times their final size, reticle patterns
undergo a series of reductions before being applied permanently to a defect-
free quartz plate.
Computer-aided design has a major impact on reticle design and generation.Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Sequence for a silicon wafer in which photolithography is
employed
The surface of the silicon has been oxidized to form a thin film of SiO2 on the
wafer. It is desired to remove the SiO2 film in certain regions as defined by the
mask pattern.
(1) Prepare surface. The wafer is properly cleaned to promote wetting and
adhesion of the resist.
(2) Apply photoresist. In semiconductor processing, photoresists are applied by
feeding a metered amount of liquid resist onto the center of the wafer and
then spinning the wafer to spread the liquid and achieve a uniform coating
thickness.
Desired thickness is around 1 mm which gives good resolution yet minimizes
pinhole defects.
Thulasikanth AP(OG), SRM University
(3) Soft-bake. Purpose of this preexposure bake is to remove solvents,
promote adhesion, and harden the resist.
Typical soft-bake temperatures are around 900
C (190F) for 10 to 20 min.
(4) Align mask and expose. The pattern mask is aligned relative to the wafer
and the resist is exposed through the mask by one of the methods described
in the preceding.
Alignment must be accomplished with very high precision, using optical-
mechanical equipment designed specifically for the purpose.
If the wafer has been previously processed by lithography so that a pattern
has already been formed in the wafer, then subsequent masks must be
accurately registered relative to the existing pattern.
Exposure of the resist depends on the same basic rule as in photography—the
exposure is a function of light intensity time. A mercury arc lamp or other
source of UV light is used.
Thulasikanth AP(OG), SRM University
(5) Develop resist. The exposed wafer is next immersed in a developing
solution, or the solution is sprayed onto the wafer surface.
For the positive resist in our example, the exposed areas are dissolved in the
developer, thus leaving the SiO2 surface uncovered in these areas.
Development is usually followed by a rinse to stop development and remove
residual chemicals.
(6) Hard-bake. This baking step expels volatiles remaining from the developing
solution and increases adhesion of the resist, especially at the newly created
edges of the resist film.
(7) Etch. Etching removes the SiO2 layer at selected regions where the resist has
been removed.
(8) Strip resist. After etching, the resist coating that remains on the surface must
be removed. Stripping is accomplished using either wet or dry techniques.
Wet stripping uses liquid chemicals; a mixture of sulfuric acid and hydrogen
peroxide (H2SO4–H2O2) is common. Dry stripping uses plasma etching with
oxygen as the reactive gas.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Cleanliness is especially important in lithography, and many manufacturers
now use robotics and specialized wafer-handling apparatus in order to
minimize contamination from dust and dirt.
Once the film deposition process is completed and the desired reticle patterns
have been generated, the wafer is cleaned and coated with an organic polymer
known as a photoresist (PR).
A photoresist consists of three principal components:
I. A polymer that changes its structure when exposed to radiation.
2. A sensitizer that controls the reactions in the polymer.
3. A solvent, in order to deliver the polymer in liquid form.
Photoresist layers 0.5 to 2.5µm thick are produced by applying the PR to the
substrate and then spinning it at several thousand rpm for 30 or 60 seconds to
give uniform coverage
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
The next step in photolithography is prebaking the wafer to remove the solvent
from the photoresist and harden it.
This step is carried out on a hot plate heated to around 100°C. The pattern is
transferred to the wafer through stepper or step-and-scan systems.
With wafer steppers the full image is exposed in one flash, and the reticle
pattern is then refocused onto another adjacent section of the wafer.
With step-and-scan systems the exposing light source is focused into a line, and
the reticle and wafer are translated simultaneously in opposite directions to
transfer the pattern.
The wafer must be aligned carefully under the desired reticle. In this crucial step
called registration, the reticle must be aligned correctly with the previous layer
on the wafer.
Once the reticle is aligned, it is subjected to ultraviolet (UV) radiation.
Upon development and removal of the exposed photoresist, a duplicate of the
reticle pattern will appear in the photoresist layer.Thulasikanth AP(OG), SRM University
Two types of photoresists layers are available: positive and negative.
A positive resist becomes more soluble in developing solutions after
exposure to light. A negative resist becomes less soluble (the polymer cross–
links and hardens) when exposed to light.
Negative resists have better adhesion to SiO2 and metal surfaces and good
etch resistance.
However, positive resists achieve better resolution, which has made it the
more widely used technique as IC feature sizes have become smaller and
smaller.
postbaking the wafer drives off the solvent and toughens and improves the
adhesion of the remaining resist.
In addition, a deep UV treatment (baking the Wafer to about 150° to 200°C in
ultraviolet light) can be used to further strengthen the resist against high-
energy implants and dry etches.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
After lithography, the developed photoresist must be removed in a process
called stripping.
In wet stripping, the photoresist is dissolved by solutions such as acetone or
strong acids.
Dry stripping involves exposing the photoresist to an oxygen plasma, which
also is referred to as ashing.
Dry stripping has become more popular, because it (a) does not involve the
disposal of consumed hazardous chemicals and (b) is easier to control and can
result in exceptional surfaces.
Thulasikanth AP(OG), SRM University
OTHER LITHOGRAPHY TECHNIQUES
As feature size in integrated circuits continues to decrease and conventional
UV photolithography becomes increasingly inadequate, other lithography
techniques that offer higher resolution are growing in importance.
These techniques are
Extreme ultraviolet lithography,
Electron beam lithography,
X-ray lithography, and
Ion lithography.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
ETCHING
Etching is the process by which entire films or particular sections of films are
removed, and it plays an important role in the fabrication sequence.
Certain steps in IC manufacturing require material removal from the surface;
this is accomplished by etching away the unwanted material.
Etching is usually done selectively, by coating surface areas that are to be
protected and leaving other areas exposed for etching.
The coating may be an etch-resistant photoresist, or itmaybe a previously
applied layer of material such as silicon dioxide
There are two main categories of etching process in semiconductor
processing:
wet chemical etching and
dry plasma etching.
Thulasikanth AP(OG), SRM University
Wet Chemical Etching Wet chemical etching involves the use of an aqueous
solution, usually an acid, to etch away a target material.
The etching solution is selected because it chemically attacks the specific
material to be removed and not the protective layer used as a mask.
1. The process can be done by immersing the masked wafers in an appropriate
etchant for a specified time and then immediately transferring them to a
thorough rinsing procedure to stop the etching.
2. Process variables such as immersion time, etchant concentration, and
temperature are important in determining the amount of material removed.Thulasikanth AP(OG), SRM University
Effective etching requires the following conditions:
I. Etchant transport to the surface.
2. A chemical reaction.
3. Transport of reaction products away from the surface.
4. Ability to stop the etching process rapidly (known as etch stop) in order to
obtain superior pattern transfer, usually by using an underlying layer with
Thulasikanth AP(OG), SRM University
The etching reaction is isotropic (it proceeds equally in all directions), resulting in
an undercut below the protective mask.
Wet chemical etching is isotropic, and so the mask pattern must be sized to
compensate for this effect.
Note also that the etchant does not attack the layer below the target material in
our illustration.
In the ideal case, an etching solution can be formulated that will react only with
the target material and not with other materials in contact with it.
In practical cases, the other materials exposed to the etchant may be attacked
but to a lesser degree than the target material.
The etch selectivity of the etchant is the ratio of etching rates between the
target material and some other material, such as the mask or substrate material.
For example, etch selectivity of hydrofluoric acid for SiO2 over Si is infinite.
Thulasikanth AP(OG), SRM University
If process control is inadequate, either under-etching or over-etching can occur, as shown
in Figure.
Under etching, in which the target layer is not completely removed, results when the
etching time is too short and/or the etching solution is too weak.
Over-etching involves too much of the target material being removed, resulting in loss of
pattern definition and possible damage to the layer beneath the target layer.
Over-etching is caused by overexposure to the etchant.Thulasikanth AP(OG), SRM University
Dry Etching
Modern integrated circuits are etched exclusively by dry etching, which involves
the use of chemical reactants in a low-pressure system.
In contrast to the wet-etching process, dry etching can have a high degree of
directionality, resulting in highly anisotropic etching profiles.
Also, the dry-etching process requires only small amounts of the reactant gases,
whereas the solutions used in the Wet-etching process have to be refreshed
periodically.
Dry etching usually involves a plasma or discharge in areas of high electric and
magnetic fields; any gases that are present are dissociated to form ions,
photons, electrons, or highly reactive molecules.
Thulasikanth AP(OG), SRM University
Sputter Etching. Sputter etching removes material by bombarding the surface
with noble gas ions, usually Ar+
.
The gas is ionized in the presence of a cathode and an anode.
If a silicon wafer is the target, the momentum transfer associated with the
bombardment of atoms causes bond breakage and material to be ejected or
sputtered.
If the silicon chip is the substrate, then the material in the target is deposited
onto the silicon after it has been sputtered by the ionized gas.Thulasikanth AP(OG), SRM University
Reactive Plasma Etching. Also referred to as dry chemical etching,
reactive plasma etching involves chlorine or fluorine ions (generated by RF
excitation) and other molecular species that diffuse into and chemically react
with the substrate, forming a volatile compound, which is then removed by a
vacuum system.
I. A reactive species, such as CF4, is produced and dissociates upon impact
with energetic electrons to produce fluorine atoms.
2. The reactive species then diffuses into the surface.
3. It becomes adsorbed.
4. The reactive species chemically reacts to form a volatile compound.
5. The reactant then desorbs from the surface.
6. lt diffuses into the bulk gas, where it is removed by a vacuum system.
Thulasikanth AP(OG), SRM University
Some reactants polymerize on the surface and thus require additional removal,
either with oxygen in the plasma reactor or by an external ashing operation.
The electrical charge of the reactive species is not high enough to cause damage
through impact on the surface, so no sputtering occurs.
Thus, the etching is isotropic and undercutting of the mask takes place
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Physical-chemical Etching. Processes such as reactive ion-beam etching (RIBE)
and chemically assisted ion-beam etching (CAIBE) combine the advantages of
physical and chemical etching.
These processes use a chemically reactive species to drive material removal, but
this is assisted physically by the impact of ions onto the surface.
In RIBE, also known as deep reactive-ion etching (DRIE), vertical trenches
hundreds of nanometers deep can be produced by periodically interrupting the
etching process and depositing a polymer layer.
In CAIBE, ion bombardment can assist dry chemical etching by
° Making the surface more reactive.
° Clearing the surface of reaction products and allowing the chemically reactive
species access to the cleared areas.
° Providing the energy to drive surface chemical reactions; however, the neutral
species do most of the etching.
Thulasikanth AP(OG), SRM University
Cryogenic Dry Etching. This method is used to obtain very deep
features with vertical walls.
The workpiece is lowered to cryogenic temperatures, and then the CAIBE
process takes place.
The very low temperatures involved ensure that insufficient energy is
available for a surface chemical reaction to take place, unless ion
bombardment is normal to the surface.
Oblique impacts, such as those occuring on sidewalls in deep crevices, cannot
drive the chemical reactions.
Thulasikanth AP(OG), SRM University
Metallization and Testing
Functional integrated circuit requires that these devices be interconnected,
and this must take place on a number of levels.
Interconnections are made with metals that exhibit low electrical resistance
and good adhesion to dielectric insulator surfaces.
Aluminum and aluminum-copper alloys remain the most commonly used
materials for this purpose in VLSI technology today.
Device dimensions continue to shrink, electromigration has become more of a
concern with aluminum interconnects.
Electromigration is the process by which aluminum atoms are moved
physically by the impact of drifting electrons under high currents.
In extreme cases, electromigration can lead to severed or shorted metal lines.
Thulasikanth AP(OG), SRM University
Solutions to the problem include
(a) the addition of sandwiched metal layers such as tungsten and titanium
(b) the use of pure copper, which displays lower resistivity and has significantly
better electromigration performance than aluminum.
Metals are deposited by standard deposition techniques, and interconnection
patterns are generated through lithographic and etching processes.
Modern ICs typically have one to six layers of metallization, each layer of
metal being insulated by a dielectric.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Planarization (i.e., producing a planar surface) of these interlayer dielectrics is
critical to the reduction of metal shorts and the line width variation of the
interconnect.
A common method used to achieve a planar surface is a uniform oxide-etch
process that smoothens out the peaks and valleys of the dielectric layer.
Today’s standard for planarizing high-density interconnects has quickly
become chemical-mechanical polishing (CMP)
This process entails physically polishing the wafer surface in a manner similar
to that by which a disc or belt sander flattens the ridges in a piece of wood.
A typical CMP process combines an abrasive medium with a polishing
compound or slurry and can polish a wafer to within 0.03 µm of being perfectly
flat, with a Rq roughness on the order of 0.1 nm for a new, bare silicon wafer.
Layers of metal are connected together by vias, and access to the devices on
the substrate is achieved through contacts
Thulasikanth AP(OG), SRM University
Wafer processing is completed upon application of a passivation layer,
usually
silicon nitride (Si3N4).
The silicon nitride acts as a barrier to sodium ions and also provides excellent
scratch resistance.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Testing
The next step is to test each of the individual circuits on the wafer.
Each chip, also known as a die, is tested by a computer-controlled probe
platform containing needle-like probes that access the bonding pads on the
die.
The probes are of two forms:
Thulasikanth AP(OG), SRM University
I. Test patterns or structures. The probe measures test structures (often outside
of the active dice) placed in the scribe line (the empty space between dies).
These probes consist of transistors and interconnect structures that measure
various processing parameters, such as resistivity, contact resistance, and
electroinigration.
2. Direct probe. This approach uses 100% testing on the bond pads of each die.
The platform scans across the Wafer and uses computer-generated timing
waveforms to test whether each circuit is functioning properly.
If a defective chip is encountered, it is marked with a drop of ink. Up to one-
third of the cost of a microelectronic circuit can be incurred during this testing.
After the Wafer-level testing is completed, back grinding may be done to
remove
a large amount of the original substrate.
The final die thickness depends on the packaging requirement, but anywhere
from 25 to 75% of the wafer thickness may be removed.Thulasikanth AP(OG), SRM University
After back grinding, each die is separated from the Wafer.
Diamond sawing is a commonly used separation technique and results in very
straight edges with minimal chipping and cracking damage.
The chips are then sorted, the functional dice are sent on for packaging, and the
inked dice are discarded.
IC packages have been manufactured using many different types of
materials.
The most common materials used today are organic material for CPU's
(glass fiber reinforced with Bismaleimide Triazine (BT) resin), and plastic
for smaller IC's.
Thulasikanth AP(OG), SRM University
Wire Bonding and Packaging
The Working dice must be attached to a more rugged foundation to ensure
reliability.
One simple method is to fasten a die to its packaging material with an epoxy
cement; another method makes use of a eutectic bond made by heating
metal-alloy systems.
One Widely used mixture is 96.4% Au and 3.6% Si
Once the chip has been attached to its substrate, it must be connected
electrically to the package leads.
This is accomplished by wire bonding very thin (25µm diameter) gold Wires
from the package leads to bonding pads located around the perimeter or
down the center of the die.
The bonding pads on the die are typically drawn at 75 to 100 µm per side,
and the bond wires are attached by means of thermocompression, ultrasonic,
or thermosonic techniques
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
The connected circuit is now ready for final packaging.
The packaging process largely determines the overall cost of each completed
IC, since the circuits are mass produced on the Wafer, but are then packaged
individually.
Packages are available in a Wide variety of styles; the appropriate one must
reflect the operating requirements.
Consideration of a circuit’s package includes the chip size, number of external
leads, operating environment, heat dissipation, and power requirements.
For example, Ics used for military and industrial applications require packages
of particularly high strength, toughness, and temperature resistance.
Packages are produced from polymers, metals, or ceramics.
Metal containers are produced from alloys such as Kovar (an iron-cobalt-nickel
alloy with a low coefficient of expansion, which provide a hermetic seal and
good thermal conductivity, but are limited in the number of leads that can be
used.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Ceramic packages usually are produced from aluminum oxide (AI2O3), are
hermetic and have good thermal conductivity, but have higher lead counts than
metal packages; however, they are also more expensive.
Plastic packages are inexpensive and have high lead counts, but they have high
thermal resistance and are not hermetic.
An older style of packaging is the dual-in-line package (DIP), Characterized by
low cost and ease of handling, DIP packages are made of thermoplastics,
epoxies, or ceramics and can have from 2 to 500 external leads.
Designed for use over a broader temperature range and in high-reliability and
military applications, ceramic packages cost considerably more than plastic
packages.
Flat ceramic package in which the package and all of the leads are in the same
plane.
This package style does not offer the ease of handling or the modular design of
the DIP package. For that reason, it usually is affixed permanently to a multiple-
level circuit board in which the low profile of the flat package is necessary.Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Surface-mount packages have become common for today’s integrated circuits.
Surface mount is soldered onto a specially fabricated pad or land-a raised solder
platform for interconnections among components in a printed circuit board.
Package size and layouts are selected from standard patterns and usually require
adhesive bonding of the package to the board, followed by Wave soldering of the
Connections.
Faster and more versatile chips require increasingly tightly spaced connections.
Pin-grid arrays (PGAS) use tightly packed pins that connect onto printed circuit
boards by way of through-holes.
PGAS and other in-line and surface mount packages are extremely susceptible to
plastic deformation of the wires and legs, especially with small-diameter, closely spaced
wires.
One way of achieving tight packing of connections and avoiding the difficulties of
slender connections is through ball-grid arrays (BGAS),
Thulasikanth AP(OG), SRM University
This type of array has a solder-plated coating on a number of closely spaced
metal balls on the underside of the package.
The spacing between the balls can be as small as 5 0 um, but more commonly
it is standardized as 1.0 mm, 1.27 mm, or 1.5 mm.
BGAS can be designed with over 1000 connections, this is extremely rare and
usually 200 to 300 connections are sufficient for demanding applications.
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University
Thulasikanth AP(OG), SRM University

More Related Content

What's hot

Wire Arc Additive Manufacturing
Wire Arc Additive ManufacturingWire Arc Additive Manufacturing
Wire Arc Additive ManufacturingBatuhan Özçalık
 
Fabrication of metal matrix composites using stir casting method
Fabrication of metal matrix composites using stir casting methodFabrication of metal matrix composites using stir casting method
Fabrication of metal matrix composites using stir casting methodAbhishekKumarSingh252
 
Powder Metallurgy-Module III
Powder Metallurgy-Module IIIPowder Metallurgy-Module III
Powder Metallurgy-Module IIIDr. Rejeesh C R
 
Module 1 Additive Manufacturing
Module 1 Additive ManufacturingModule 1 Additive Manufacturing
Module 1 Additive Manufacturingtaruian
 
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGY
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGYChapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGY
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGYProf.Mayur Modi
 
Laminated object manufacturing
Laminated object manufacturingLaminated object manufacturing
Laminated object manufacturingAnkit Raghuwanshi
 
Vacuum plasma hardening
Vacuum plasma hardeningVacuum plasma hardening
Vacuum plasma hardeningMuthukumar V
 
Magnesium and its alloys
Magnesium and its alloysMagnesium and its alloys
Magnesium and its alloysIManiSivaRaja
 
COMPOSITE FABRICATION TECHNIQUES
COMPOSITE FABRICATION TECHNIQUESCOMPOSITE FABRICATION TECHNIQUES
COMPOSITE FABRICATION TECHNIQUESMNNIT Allahabad
 
Non - metallic materials --- polymers
Non - metallic materials  --- polymersNon - metallic materials  --- polymers
Non - metallic materials --- polymersMuthukumar V
 

What's hot (20)

Plasma Arc Machining
Plasma Arc MachiningPlasma Arc Machining
Plasma Arc Machining
 
Surface hardening
Surface hardeningSurface hardening
Surface hardening
 
Wire Arc Additive Manufacturing
Wire Arc Additive ManufacturingWire Arc Additive Manufacturing
Wire Arc Additive Manufacturing
 
Micro machining
Micro machiningMicro machining
Micro machining
 
Fabrication of metal matrix composites using stir casting method
Fabrication of metal matrix composites using stir casting methodFabrication of metal matrix composites using stir casting method
Fabrication of metal matrix composites using stir casting method
 
Surface coating jawahar
Surface coating jawaharSurface coating jawahar
Surface coating jawahar
 
Powder Metallurgy-Module III
Powder Metallurgy-Module IIIPowder Metallurgy-Module III
Powder Metallurgy-Module III
 
Module 1 Additive Manufacturing
Module 1 Additive ManufacturingModule 1 Additive Manufacturing
Module 1 Additive Manufacturing
 
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGY
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGYChapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGY
Chapter 1 INTRODUCTION TO MATERIAL SCIENCE AND METALLURGY
 
Hot isostatic
Hot isostaticHot isostatic
Hot isostatic
 
Laminated object manufacturing
Laminated object manufacturingLaminated object manufacturing
Laminated object manufacturing
 
Vacuum plasma hardening
Vacuum plasma hardeningVacuum plasma hardening
Vacuum plasma hardening
 
Magnesium and its alloys
Magnesium and its alloysMagnesium and its alloys
Magnesium and its alloys
 
COMPOSITE FABRICATION TECHNIQUES
COMPOSITE FABRICATION TECHNIQUESCOMPOSITE FABRICATION TECHNIQUES
COMPOSITE FABRICATION TECHNIQUES
 
Non - metallic materials --- polymers
Non - metallic materials  --- polymersNon - metallic materials  --- polymers
Non - metallic materials --- polymers
 
Surface Treatment
Surface TreatmentSurface Treatment
Surface Treatment
 
POWDER METALLURGY
POWDER METALLURGYPOWDER METALLURGY
POWDER METALLURGY
 
Surface engineering
Surface engineeringSurface engineering
Surface engineering
 
Unit 1-METAL CASTING PROCESSES
Unit 1-METAL CASTING PROCESSESUnit 1-METAL CASTING PROCESSES
Unit 1-METAL CASTING PROCESSES
 
Manufacturing Processes - Forging
Manufacturing Processes - Forging Manufacturing Processes - Forging
Manufacturing Processes - Forging
 

Viewers also liked

Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers xhartwind
 
market analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companiesmarket analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companieshaythahie
 
Introduction To Microelectronics
Introduction To MicroelectronicsIntroduction To Microelectronics
Introduction To MicroelectronicsAnkita Jaiswal
 
Agility in microelectronics
Agility in microelectronicsAgility in microelectronics
Agility in microelectronicsMadhur Kathuria
 
Logic families
Logic familiesLogic families
Logic familiesPatel Jay
 
"Innovation Dallas" presented at UT Arlington Research Institute
"Innovation Dallas" presented at UT Arlington Research Institute"Innovation Dallas" presented at UT Arlington Research Institute
"Innovation Dallas" presented at UT Arlington Research InstituteJoyce Kay Avila
 
Trabajo en clase
Trabajo en claseTrabajo en clase
Trabajo en clasewavilamonte
 
The emerging role of 3D printing in engineering and innovation education
The emerging role of 3D printing in engineering and innovation educationThe emerging role of 3D printing in engineering and innovation education
The emerging role of 3D printing in engineering and innovation educationTim Minshall
 
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...ushadummy
 
Open Innovation: Evolution of research at Cambridge University Engineering De...
Open Innovation: Evolution of research at Cambridge University Engineering De...Open Innovation: Evolution of research at Cambridge University Engineering De...
Open Innovation: Evolution of research at Cambridge University Engineering De...Tim Minshall
 
Monash University Prototyping Resources
Monash University Prototyping ResourcesMonash University Prototyping Resources
Monash University Prototyping ResourcesLaura Faulconer
 
THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418Jos Hogenkamp
 
Open Platform for ICS Cybersecurity Research and Education
Open Platform for ICS Cybersecurity Research and EducationOpen Platform for ICS Cybersecurity Research and Education
Open Platform for ICS Cybersecurity Research and EducationEnergySec
 
Introduction to Microelectronics
Introduction to MicroelectronicsIntroduction to Microelectronics
Introduction to MicroelectronicsITMO University
 
Solutions of sedra and smith
Solutions of sedra and smithSolutions of sedra and smith
Solutions of sedra and smithReaZad IslAm
 

Viewers also liked (20)

Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers Semiconductor Manufacturing Fab Engineers
Semiconductor Manufacturing Fab Engineers
 
market analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companiesmarket analysis on semiconductor manufacturing companies
market analysis on semiconductor manufacturing companies
 
Introduction To Microelectronics
Introduction To MicroelectronicsIntroduction To Microelectronics
Introduction To Microelectronics
 
Agility in microelectronics
Agility in microelectronicsAgility in microelectronics
Agility in microelectronics
 
05 gears part 2 (1) (1) (1)
05 gears part 2 (1) (1) (1)05 gears part 2 (1) (1) (1)
05 gears part 2 (1) (1) (1)
 
Logic families
Logic familiesLogic families
Logic families
 
Mems finalr eport
Mems finalr eportMems finalr eport
Mems finalr eport
 
wet etching
wet etchingwet etching
wet etching
 
"Innovation Dallas" presented at UT Arlington Research Institute
"Innovation Dallas" presented at UT Arlington Research Institute"Innovation Dallas" presented at UT Arlington Research Institute
"Innovation Dallas" presented at UT Arlington Research Institute
 
Trabajo en clase
Trabajo en claseTrabajo en clase
Trabajo en clase
 
2012 zebedee
2012 zebedee2012 zebedee
2012 zebedee
 
The emerging role of 3D printing in engineering and innovation education
The emerging role of 3D printing in engineering and innovation educationThe emerging role of 3D printing in engineering and innovation education
The emerging role of 3D printing in engineering and innovation education
 
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...
Bcom 275 guide 4 28) A concept proposing that negative cultural assumptions a...
 
Open Innovation: Evolution of research at Cambridge University Engineering De...
Open Innovation: Evolution of research at Cambridge University Engineering De...Open Innovation: Evolution of research at Cambridge University Engineering De...
Open Innovation: Evolution of research at Cambridge University Engineering De...
 
Monash University Prototyping Resources
Monash University Prototyping ResourcesMonash University Prototyping Resources
Monash University Prototyping Resources
 
THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418THINFAB_Product_Presentation_20110418
THINFAB_Product_Presentation_20110418
 
One stop solution for PCBA SMT manufacturing
One stop solution for PCBA SMT manufacturingOne stop solution for PCBA SMT manufacturing
One stop solution for PCBA SMT manufacturing
 
Open Platform for ICS Cybersecurity Research and Education
Open Platform for ICS Cybersecurity Research and EducationOpen Platform for ICS Cybersecurity Research and Education
Open Platform for ICS Cybersecurity Research and Education
 
Introduction to Microelectronics
Introduction to MicroelectronicsIntroduction to Microelectronics
Introduction to Microelectronics
 
Solutions of sedra and smith
Solutions of sedra and smithSolutions of sedra and smith
Solutions of sedra and smith
 

Similar to Fabrication of microelectronic devices

Intel Chip , How do they do It
Intel Chip , How do they do ItIntel Chip , How do they do It
Intel Chip , How do they do Itparekhjigarh
 
Integrated Circuits
Integrated CircuitsIntegrated Circuits
Integrated CircuitsANAND G
 
A Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeA Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeArjunKapoor65
 
A Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxA Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxArjunKapoor64
 
Semiconductor devices and presentation.ppt
Semiconductor devices and presentation.pptSemiconductor devices and presentation.ppt
Semiconductor devices and presentation.pptmohasanali
 
Introduction_to_Electroforming
Introduction_to_ElectroformingIntroduction_to_Electroforming
Introduction_to_ElectroformingKathleen Stillman
 
Technical report on Electroc
Technical report on ElectrocTechnical report on Electroc
Technical report on ElectrocSRINJOY GUHA
 
Processors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfProcessors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfDr-Islam Salama
 
VLSI Technology.pptx
VLSI Technology.pptxVLSI Technology.pptx
VLSI Technology.pptxkiran27822
 
Integrated circuits
Integrated circuitsIntegrated circuits
Integrated circuitsNaveen Sihag
 
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...IAEME Publication
 
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...IAEME Publication
 
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...IRJET Journal
 
transientelectronics-170920070624.pptx
transientelectronics-170920070624.pptxtransientelectronics-170920070624.pptx
transientelectronics-170920070624.pptxANANDHUPILLAI1
 

Similar to Fabrication of microelectronic devices (20)

Intel Chip , How do they do It
Intel Chip , How do they do ItIntel Chip , How do they do It
Intel Chip , How do they do It
 
Integrated Circuits
Integrated CircuitsIntegrated Circuits
Integrated Circuits
 
integratedcircuits
integratedcircuitsintegratedcircuits
integratedcircuits
 
A Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS GyroscopeA Systematic Review on MEMS Gyroscope
A Systematic Review on MEMS Gyroscope
 
A Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docxA Systematic Review on MEMS Gyroscope.docx
A Systematic Review on MEMS Gyroscope.docx
 
Semiconductor devices and presentation.ppt
Semiconductor devices and presentation.pptSemiconductor devices and presentation.ppt
Semiconductor devices and presentation.ppt
 
Introduction_to_Electroforming
Introduction_to_ElectroformingIntroduction_to_Electroforming
Introduction_to_Electroforming
 
Technical report on Electroc
Technical report on ElectrocTechnical report on Electroc
Technical report on Electroc
 
Processors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdfProcessors Manufacturing Technology By Dr Islam Salama.pdf
Processors Manufacturing Technology By Dr Islam Salama.pdf
 
VLSI Technology.pptx
VLSI Technology.pptxVLSI Technology.pptx
VLSI Technology.pptx
 
Integratedcircuits
Integratedcircuits Integratedcircuits
Integratedcircuits
 
Mems manufacturing
Mems manufacturingMems manufacturing
Mems manufacturing
 
Integrated circuits
Integrated circuitsIntegrated circuits
Integrated circuits
 
Ic fab
Ic fabIc fab
Ic fab
 
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
 
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
ADVANCEMENT IN ULTRASONIC VIBRATION AND MAGNETIC FIELD ASSISTED MICROEDM PROC...
 
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...
IRJET- Experimental Studies on Electrical Characteristics of Solar PV Panel w...
 
Skt mems
Skt memsSkt mems
Skt mems
 
Silicon technology
Silicon technologySilicon technology
Silicon technology
 
transientelectronics-170920070624.pptx
transientelectronics-170920070624.pptxtransientelectronics-170920070624.pptx
transientelectronics-170920070624.pptx
 

More from Thulasikanth Vaddi

More from Thulasikanth Vaddi (9)

05 gears part 1(1)
05 gears part 1(1)05 gears part 1(1)
05 gears part 1(1)
 
04 metal cutting(1)
04 metal cutting(1)04 metal cutting(1)
04 metal cutting(1)
 
Mechanical working of metals
Mechanical working of metalsMechanical working of metals
Mechanical working of metals
 
Introduction to Casting
Introduction to CastingIntroduction to Casting
Introduction to Casting
 
Introduction to manufacturing system and cnc machines
Introduction to manufacturing system and cnc machinesIntroduction to manufacturing system and cnc machines
Introduction to manufacturing system and cnc machines
 
Rapid prototyping and tooling
Rapid prototyping and toolingRapid prototyping and tooling
Rapid prototyping and tooling
 
Introduction to mechatronics
Introduction to mechatronicsIntroduction to mechatronics
Introduction to mechatronics
 
Introduction to nontraditional machining
Introduction to nontraditional machiningIntroduction to nontraditional machining
Introduction to nontraditional machining
 
Hydraulic systems
Hydraulic  systemsHydraulic  systems
Hydraulic systems
 

Recently uploaded

Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphThiyagu K
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfchloefrazer622
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdfQucHHunhnh
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfAyushMahapatra5
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Disha Kariya
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13Steve Thomason
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024Janet Corral
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104misteraugie
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfJayanti Pande
 

Recently uploaded (20)

Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
Arihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdfArihant handbook biology for class 11 .pdf
Arihant handbook biology for class 11 .pdf
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
1029-Danh muc Sach Giao Khoa khoi 6.pdf
1029-Danh muc Sach Giao Khoa khoi  6.pdf1029-Danh muc Sach Giao Khoa khoi  6.pdf
1029-Danh muc Sach Giao Khoa khoi 6.pdf
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdf
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..
 
The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13The Most Excellent Way | 1 Corinthians 13
The Most Excellent Way | 1 Corinthians 13
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 

Fabrication of microelectronic devices

  • 1. By V. THULASIKANTH Assistant Professor Mechanical Engineering Department vtkvsk@gmail.com 1 Fabrication of Micro Electronic Devices
  • 2. Fabrication of Micro Electronic Devices This chapter presents the science and the technologies involved in the production of integrated circuits, a product that has fundamentally changed our society. The chapter begins by examining silicon, the preferred material for most integrated circuits, and its unique properties that make it attractive. Typical parts produced: Computer processors, memory chips, printed circuit boards, and integrated circuits of all types. Thulasikanth AP(OG), SRM University
  • 3. Microelectronics has played an increasing role in our lives ever since integrated circuit (IC) technology became the foundation for calculators, Wrist watches, controls for home appliances and automobiles, information systems, telecommunications, robotics, space travel, Weaponry, and personal computers. The major advantages of today’s ICs are their very small size and low cost. As fabrication technology becomes more advanced, the size of devices made (such as transistors, diodes, resistors, and capacitors) continues to decrease. Consequently, more components can be put onto a chip-a small piece of semiconducting material on which the circuit is fabricated. In addition, mass production and automation have helped reduce the cost of each completed circuit. Typical chips produced today have sizes that are as small as 0.5 x 0.5 mm and, in rare cases, can be more than 50 x50 mm. Thulasikanth AP(OG), SRM University
  • 4. This magnitude of integration has been termed very large scale integration (VLSI). A microprocessor is a single, very-large-scale-integration (VLSI) chip that contains many digital circuits that perform arithmetic, logic, communication, and control functions. Some of the most advanced ICs may contain more than 100 million devices, termed ultralarge-scale integration (ULSI). The Intel Itanium® processors, for example, recently surpassed 2 billion transistors. More recent advances include wafer-scale integration (WSI), in which an entire silicon Wafer is used to build a single device. This approach has been of greatest interest in the design of massively parallel supercomputers, including three-dimensional integrated circuits, (3DICs) Which use multiple layers of active circuits that maintain connections both horizontally and vertically. Thulasikanth AP(OG), SRM University
  • 6. Clean Rooms Clean rooms are essential for the production of most integrated circuits Integrated circuits are typically a few millimeters in length, and the smallest features in a transistor on the circuit may be as small as a few tens of nanometers. This size range is smaller than particles that we don’t normally consider harmful, such as dust, smoke, perfume, and bacteria. However, if these contaminants are present on a silicon wafer during processing, they can seriously compromise the performance of the entire device. Thus, it is essential that all of the potentially harmful particles be eliminated from the IC manufacturing environment. There are various levels of clean rooms, which are defined by the class of the room. The classification system refers to the number of 0.5µm or larger particles within a cubic metre of air. Thulasikanth AP(OG), SRM University
  • 7. Class-0.35 clean room has 0.35 x103 or fewer such particles per cubic metre. To obtain controlled atmospheres that are free from particulate contamination, all Ventilating air is passed through a high-effeciancy particulate air (HEPA) filter. In addition, the air usually is conditioned so that it is at 21°C and 45% relative humidity. Thulasikanth AP(OG), SRM University
  • 8. Semiconductors and Silicon As the name suggests, semiconductor materials have electrical properties that lie between those of conductors and insulators and that exhibit resistivities between 1O-3 and 108 ohm-cm. Semiconductors have become the foundation for electronic devices because their electrical properties can be altered when controlled amounts of selected impurity atoms are added to their crystal structures. These impurity atoms, also known as dopants. The electrical operation of semiconductor devices can be controlled through the creation of regions with different doping types and concentrations. Although the earliest electronic devices were fabricated on germanium, silicon has become the industry standard. Thulasikanth AP(OG), SRM University
  • 9. The abundance of alternative forms of silicon in the Earth is second only to that of oxygen, making it attractive economically. Silicon’s main advantage over germanium is its large energy gap (1.1 eV) compared with that of germanium (0.66 eV). This energy gap allows silicon-based devices to operate at temperatures of about 150°C higher than devices fabricated on germanium, which operate at about 100°C. Another important processing advantage of silicon is that its oxide (silicon dioxide, SiO2) is an excellent electrical insulator. By contrast, germanium oxide is water soluble and unsuitable for electronic devices. Furthermore, the oxidized form of silicon allows the production of metal-oxide- semiconductor (MOS) devices, which are the basis for MOS transistors. These materials make up memory devices, processors, and the like, and are by far the largest volume of semiconductor material produced worldwide.Thulasikanth AP(OG), SRM University
  • 10. Structure of Silicon Construction of a diamond-type lattice from interpenetrating face- centered cubic cells Crystallographic structure of silicon will have diamond-type fcc structure, along with the Miller indices of an fcc material. Miller indices are a useful notation for identifying planes and directions within a unit cell. Thulasikanth AP(OG), SRM University
  • 11. In spite of its advantages, silicon has a larger energy gap (1.1 eV) than germanium oxide and, therefore, has a higher maximum operating temperature (about 200°C). This limitation has encouraged the development of compound semiconductors, specifically gallium arsenide. Its major advantage over silicon is its ability to emit light, thus allowing the fabrication of devices such as lasers and light-emitting diodes (LEDs). Devices fabricated on gallium arsenide also have much higher operating speeds than those fabricated on silicon. Some of gallium arsenide’s disadvantages are its considerably higher cost, greater processing complications, and, most critically, the difficulty of growing high-quality oxide layers Thulasikanth AP(OG), SRM University
  • 12. Crystal Growing and Wafer Preparation Silicon occurs naturally in the forms of silicon dioxide and various silicates. It must, however, undergo a series of purification steps in order to become the high-quality, defect-free, single-crystal material that is required for semiconductor device fabrication. The process begins by heating silica and carbon together in an electric furnace, which results in a 95-to-98% pure polycrystalline silicon. This material is converted to an alternative form, commonly trichlorosilane, which in turn is purified and decomposed in a high-temperature hydrogen atmosphere. The resulting product is extremely high quality electronic-grade silicon (EGS). Thulasikanth AP(OG), SRM University
  • 13. Single-crystal silicon usually is obtained through the Czochralski, or CZ, process. The process utilizes a seed crystal that is dipped into a silicon melt and is then pulled out slowly while being rotated. At this point, controlled amounts of impurities can be added to obtain a uniformly doped crystal. The result of the CZ process is a cylindrical single-crystal ingot, typically 100 to 300 mm in diameter and over 1 m in length. However, the technique does not allow for exact control of the ingot diameter. Therefore, ingots commonly are grown a few millimeters larger than the required size and are ground to a precise diameter. Silicon wafers then are produced from silicon ingots by a sequence of machining and finishing operations Thulasikanth AP(OG), SRM University
  • 17. (a) Sawing the ends off the ingot (b) (b) grinding of the end and cylindrical surfaces of a silicon ingot Thulasikanth AP(OG), SRM University
  • 18. (c) Machining of a notch or flat; (d) slicing of wafers Thulasikanth AP(OG), SRM University
  • 19. (e) end grinding of wafers; (f) chemical-mechanical polishing of wafers. Thulasikanth AP(OG), SRM University
  • 20. The crystal is sliced into individual wafers by using an inner-diameter diamond encrusted blade In this method, a rotating, ring-shaped blade with its cutting edge on the inner diameter is utilized. The substrate depth required for most electronic devices is no more than several microns, wafers typically are cut to a thickness of about 0.5 mm. The wafer is then ground along its edges with a diamond wheel. This operation gives the Wafer a rounded profile that is more resistant to chipping. Finally, the wafers must be polished and cleaned to remove surface damage caused by the sawing process. This is commonly performed by chemical-mechanical polishing Thulasikanth AP(OG), SRM University
  • 21. In order to properly control the manufacturing process, it is important to determine the orientation of the crystal in a Wafer. Wafers, therefore, have notches or flats machined into them for identification. Most commonly, the (100) or (111) plane of the crystal defines the Wafer surface, although (110) surfaces also can be used for micromachining applications. Wafers are also identified by a laser scribe mark produced by the manufacturer. Laser scribing of information may take place on the front or on the back side of the wafer. The front side of some wafers has an exclusion edge area, 3 to 10 mm in size, reserved for the scribe information, such as lot numbers, orientation, and a unique Wafer identification code. Thulasikanth AP(OG), SRM University
  • 23. Device fabrication takes place over the entire Wafer surface. Wafers are typically processed in lots of 25 or 50, with 150 to 200 mm diameters each, or lots of 12 to 25 with 300-mm diameters each. In this Way, they can be easily handled and transferred during processing steps. Because of the small device size and large Wafer diameter, thousands of individual circuits can be placed on one Wafer. Once processing is completed, the Wafer is sliced into individual chips, each containing one complete integrated circuit. At this point, the single-crystal silicon Wafer is ready for the fabrication of the integrated circuit or device. Fabrication takes place over the entire Wafer surface, and many chips are produced at the same time Thulasikanth AP(OG), SRM University
  • 24. The number of chips that can be produced is dependent on the cross-sectional area of the Wafer. For this reason, a number of advanced-circuit manufacturers have moved towards using larger single-crystal cylinders, and 300-mm diameter wafers now have increasingly become common. Thulasikanth AP(OG), SRM University
  • 25. Film Deposition Films of many different types are used extensively in microelectronic-device processing, particularly insulating and conducting films. Commonly deposited films include polysilicon, silicon nitride, silicon dioxide, tungsten, titanium, and aluminum. In some instances, the wafers merely serve as a mechanical support on which custom epitaxial layers are grown. Epitaxy. Defined as the growth of a vapor deposit, epitaxy (or electrodeposit) occurs when the crystal orientation of the deposit is related directly to the crystal orientation in the underlying crystalline substrate. The advantages of processing on these deposited films, instead of on the actual wafer surface, include fewer impurities (notably carbon and oxygen), improved device performance, and the tailoring of material properties (which cannot be done on the wafers themselves). Thulasikanth AP(OG), SRM University
  • 26. Some of the major functions of deposited films are masking and protecting the semiconductor surface. In masking applications, the film must inhibit the passage of dopants and concurrently display an ability to be etched into patterns of high resolution. Upon completion of device fabrication, films are applied to protect the underlying circuitry. Films used for masking and protecting include silicon dioxide, phosphosilicate glass (PSG), and silicon nitride. Each of these materials has distinct advantages, and they often are used in combination. Conductive films are used primarily for device interconnection. These films must have a low resistivity, be capable of carrying large currents, and be suitable for connection to terminal packaging leads with wire bonds. Thulasikanth AP(OG), SRM University
  • 27. Generally, aluminum and copper are used for this purpose. Increasing circuit complexity has required up to six levels of conductive layers, all of which must be separated by insulating films. Film Deposition. Films may be deposited by a number of techniques, which involve a variety of pressures, temperatures, and vacuum systems 1. EVAPORATION ln this process, the metal is heated in a vacuum to its point of vaporization; upon evaporation, the metal forms a thin layer on the substrate surface. The heat for evaporation usually is generated by a heating filament or electron beam. Thulasikanth AP(OG), SRM University
  • 28. 2. Sputtering involves bombarding a target with high-energy ions (usually argon, Ar+) in a vacuum. Sputtering systems generally include a DC power source to produce the energized ions. As the ions impinge on the target, atoms are knocked off and subsequently deposited on wafers mounted within the system some argon may be trapped within the film, sputtering results in highly uniform coverage. Advances in this field include using a radio-frequency power source (RF sputtering) and introducing magnetic fields (magnetron sputtering). 3. CVD In one of the most common techniques, chemical-vapor deposition (CVD), film is deposited by way of the reaction and/or decomposition of gaseous compounds. Using this technique, silicon dioxide is deposited routinely by the oxidation of silane or a chlorosilane. Thulasikanth AP(OG), SRM University
  • 31. 4. Lowpressure chemical-vapor deposition (LPCVD) Capable of coating hundreds of wafers at a time, this method results in a much higher production rate than that of atmospheric-pressure CVD and provides superior film uniformity with less consumption of carrier gases. The technique is commonly used for depositing polysilicon, silicon nitride, and silicon dioxide. Thulasikanth AP(OG), SRM University
  • 32. 5. Plasma-enhanced chemical-vapor deposition (PECVD) involves the processing of wafers in an RF plasma containing the source gases. This method has the advantage of maintaining a low wafer temperature during deposition. Silicon epitaxy layers, in which the crystalline layer is formed using the substrate as a seed crystal, can be grown by a variety of methods. If the silicon is deposited from the gaseous phase, the process is known as vapor-phase epitaxy (VPE). In another variation, the heated substrate is brought into Contact with a liquid solution containing the material to be deposited, a process called liquid-phase epitaxy (LPE). Another high-vacuum process utilizes evaporation to produce a thermal beam of molecules that are deposited on the heated substrate, called molecular-beam epitaxy (MBE), this process results in a very high degree of purity. Thulasikanth AP(OG), SRM University
  • 33. In addition, since the films are grown one atomic layer at a time, it is possible to have excellent control over doping profiles. This level of control is important especially in gallium-arsenide technology. However, the MBE process has relatively low growth rates as compared to other conventional film-deposition techniques. Thulasikanth AP(OG), SRM University
  • 34. Oxidation The term oxidation refers to the growth of an oxide layer as a result of the reaction of oxygen with the substrate material. Oxide films also can be formed by ion implantation an diffusion methods. Thermally grown oxides, described in this section, display a higher level of purity than deposited oxides, because they are grown directly from the high- quality substrate. However, deposition methods must be used if the composition of the desired film is different from that of the substrate material. Silicon dioxide is the most widely used oxide in IC technology today, and its excellent characteristics are one of the major reasons for the widespread use of silicon. Aside from its effectiveness in dopant masking and device isolation, silicon dioxide’s most critical role is that of the “gate oxide” material.Thulasikanth AP(OG), SRM University
  • 35. Silicon surfaces have an extremely high affinity for oxygen, and a freshly sawed slice of silicon will grow a native oxide of 30 to 40 A0 thickness (A0 = 1O-7 mm) quickly. Thulasikanth AP(OG), SRM University
  • 36. Dry oxidation is accomplished by elevating the substrate temperature, typically to about 750° to 1100°C, in an oxygen-rich environment. As a layer of oxide forms, the oxidizing agents must be able to pass through the oxide and reach the silicon surface, where the actual reaction takes place. Thus, an oxide layer does not continue to grow on top of itself, but rather, it grows from the silicon surface outward. Some of the silicon substrate is consumed in the oxidation process. The ratio of oxide thickness to the amount of silicon consumed is found to be 1:0.44. Thus, to obtain an oxide layer 1000 thick, approximately 440 A0 of silicon will be consumed. Important effect of the consumption of silicon is the rearrangement of dopants in the substrate near the interface. Because different impurities have different segregation coefficients or mobilities in silicon dioxide, some dopants become depleted away from the oxide interface while others pile up there.Thulasikanth AP(OG), SRM University
  • 37. Consequently, processing parameters must be adjusted to compensate for this effect. Wet oxidation utilizes a water-vapor atmosphere as the agent. This method results in a considerably higher growth rate than that of dry oxidation, but it suffers from a lower oxide density and, therefore, a lower dielectric strength. The common practice in industry is to combine both dry and wet oxidation methods by growing an oxide in a three-part layer: dry-wet-dry. This approach combines the advantages of wet oxidation’s much higher growth rate and dry oxidation’s high quality. The two oxidation methods are useful primarily for coating the entire silicon surface with oxide; however, it also may be necessary to oxidize only certain portions of the surface. The procedure of oxidizing only certain areas is called selective oxidation and uses silicon nitride, which inhibits the passage of oxygen and water vapor. Thus, by covering certain areas with silicon nitride, the silicon under these Thulasikanth AP(OG), SRM University
  • 39. Lithography Lithography is the process by which the geometric patterns that define devices are transferred to the substrate surface. An IC consists of many microscopic regions on the wafer surface that make up the transistors, other devices, and intraconnections in the circuit design. In the planar process, the regions are fabricated by a sequence of steps to add layers to selected areas of the surface. The form of each layer is determined by a geometric pattern representing circuit design information that is transferred to the wafer surface by a procedure known as lithography—basically the same procedure used by artists and printers for centuries. There are many forms of lithography, but the most common form used today is photolithography. Electron-beam and X-ray lithography are of great interest because of their ability to transfer patterns of higher resolution, which is a necessary feature for the increased miniaturization of integrated circuits..Thulasikanth AP(OG), SRM University
  • 40. Photolithography Photolithography uses a reticle, also called a photomask or mask, which is a glass or quartz plate with a pattern of the chip deposited onto it with a chromium film. The reticle image can be the same size as the desired structure on the chip, but it is often an enlarged image, usually 5x to 20x larger, although 10x magnification is the most common. Enlarged images then are focused onto a Wafer through a lens system; this operation is referred to as reduction lithography. In current practice, the lithographic process is applied to each microelectronic circuit as many as 25 times-each time using a different reticle to define the different areas of the working devices. Typically designed at several thousand times their final size, reticle patterns undergo a series of reductions before being applied permanently to a defect- free quartz plate. Computer-aided design has a major impact on reticle design and generation.Thulasikanth AP(OG), SRM University
  • 42. Sequence for a silicon wafer in which photolithography is employed The surface of the silicon has been oxidized to form a thin film of SiO2 on the wafer. It is desired to remove the SiO2 film in certain regions as defined by the mask pattern. (1) Prepare surface. The wafer is properly cleaned to promote wetting and adhesion of the resist. (2) Apply photoresist. In semiconductor processing, photoresists are applied by feeding a metered amount of liquid resist onto the center of the wafer and then spinning the wafer to spread the liquid and achieve a uniform coating thickness. Desired thickness is around 1 mm which gives good resolution yet minimizes pinhole defects. Thulasikanth AP(OG), SRM University
  • 43. (3) Soft-bake. Purpose of this preexposure bake is to remove solvents, promote adhesion, and harden the resist. Typical soft-bake temperatures are around 900 C (190F) for 10 to 20 min. (4) Align mask and expose. The pattern mask is aligned relative to the wafer and the resist is exposed through the mask by one of the methods described in the preceding. Alignment must be accomplished with very high precision, using optical- mechanical equipment designed specifically for the purpose. If the wafer has been previously processed by lithography so that a pattern has already been formed in the wafer, then subsequent masks must be accurately registered relative to the existing pattern. Exposure of the resist depends on the same basic rule as in photography—the exposure is a function of light intensity time. A mercury arc lamp or other source of UV light is used. Thulasikanth AP(OG), SRM University
  • 44. (5) Develop resist. The exposed wafer is next immersed in a developing solution, or the solution is sprayed onto the wafer surface. For the positive resist in our example, the exposed areas are dissolved in the developer, thus leaving the SiO2 surface uncovered in these areas. Development is usually followed by a rinse to stop development and remove residual chemicals. (6) Hard-bake. This baking step expels volatiles remaining from the developing solution and increases adhesion of the resist, especially at the newly created edges of the resist film. (7) Etch. Etching removes the SiO2 layer at selected regions where the resist has been removed. (8) Strip resist. After etching, the resist coating that remains on the surface must be removed. Stripping is accomplished using either wet or dry techniques. Wet stripping uses liquid chemicals; a mixture of sulfuric acid and hydrogen peroxide (H2SO4–H2O2) is common. Dry stripping uses plasma etching with oxygen as the reactive gas. Thulasikanth AP(OG), SRM University
  • 47. Cleanliness is especially important in lithography, and many manufacturers now use robotics and specialized wafer-handling apparatus in order to minimize contamination from dust and dirt. Once the film deposition process is completed and the desired reticle patterns have been generated, the wafer is cleaned and coated with an organic polymer known as a photoresist (PR). A photoresist consists of three principal components: I. A polymer that changes its structure when exposed to radiation. 2. A sensitizer that controls the reactions in the polymer. 3. A solvent, in order to deliver the polymer in liquid form. Photoresist layers 0.5 to 2.5µm thick are produced by applying the PR to the substrate and then spinning it at several thousand rpm for 30 or 60 seconds to give uniform coverage Thulasikanth AP(OG), SRM University
  • 50. The next step in photolithography is prebaking the wafer to remove the solvent from the photoresist and harden it. This step is carried out on a hot plate heated to around 100°C. The pattern is transferred to the wafer through stepper or step-and-scan systems. With wafer steppers the full image is exposed in one flash, and the reticle pattern is then refocused onto another adjacent section of the wafer. With step-and-scan systems the exposing light source is focused into a line, and the reticle and wafer are translated simultaneously in opposite directions to transfer the pattern. The wafer must be aligned carefully under the desired reticle. In this crucial step called registration, the reticle must be aligned correctly with the previous layer on the wafer. Once the reticle is aligned, it is subjected to ultraviolet (UV) radiation. Upon development and removal of the exposed photoresist, a duplicate of the reticle pattern will appear in the photoresist layer.Thulasikanth AP(OG), SRM University
  • 51. Two types of photoresists layers are available: positive and negative. A positive resist becomes more soluble in developing solutions after exposure to light. A negative resist becomes less soluble (the polymer cross– links and hardens) when exposed to light. Negative resists have better adhesion to SiO2 and metal surfaces and good etch resistance. However, positive resists achieve better resolution, which has made it the more widely used technique as IC feature sizes have become smaller and smaller. postbaking the wafer drives off the solvent and toughens and improves the adhesion of the remaining resist. In addition, a deep UV treatment (baking the Wafer to about 150° to 200°C in ultraviolet light) can be used to further strengthen the resist against high- energy implants and dry etches. Thulasikanth AP(OG), SRM University
  • 53. After lithography, the developed photoresist must be removed in a process called stripping. In wet stripping, the photoresist is dissolved by solutions such as acetone or strong acids. Dry stripping involves exposing the photoresist to an oxygen plasma, which also is referred to as ashing. Dry stripping has become more popular, because it (a) does not involve the disposal of consumed hazardous chemicals and (b) is easier to control and can result in exceptional surfaces. Thulasikanth AP(OG), SRM University
  • 54. OTHER LITHOGRAPHY TECHNIQUES As feature size in integrated circuits continues to decrease and conventional UV photolithography becomes increasingly inadequate, other lithography techniques that offer higher resolution are growing in importance. These techniques are Extreme ultraviolet lithography, Electron beam lithography, X-ray lithography, and Ion lithography. Thulasikanth AP(OG), SRM University
  • 56. ETCHING Etching is the process by which entire films or particular sections of films are removed, and it plays an important role in the fabrication sequence. Certain steps in IC manufacturing require material removal from the surface; this is accomplished by etching away the unwanted material. Etching is usually done selectively, by coating surface areas that are to be protected and leaving other areas exposed for etching. The coating may be an etch-resistant photoresist, or itmaybe a previously applied layer of material such as silicon dioxide There are two main categories of etching process in semiconductor processing: wet chemical etching and dry plasma etching. Thulasikanth AP(OG), SRM University
  • 57. Wet Chemical Etching Wet chemical etching involves the use of an aqueous solution, usually an acid, to etch away a target material. The etching solution is selected because it chemically attacks the specific material to be removed and not the protective layer used as a mask. 1. The process can be done by immersing the masked wafers in an appropriate etchant for a specified time and then immediately transferring them to a thorough rinsing procedure to stop the etching. 2. Process variables such as immersion time, etchant concentration, and temperature are important in determining the amount of material removed.Thulasikanth AP(OG), SRM University
  • 58. Effective etching requires the following conditions: I. Etchant transport to the surface. 2. A chemical reaction. 3. Transport of reaction products away from the surface. 4. Ability to stop the etching process rapidly (known as etch stop) in order to obtain superior pattern transfer, usually by using an underlying layer with Thulasikanth AP(OG), SRM University
  • 59. The etching reaction is isotropic (it proceeds equally in all directions), resulting in an undercut below the protective mask. Wet chemical etching is isotropic, and so the mask pattern must be sized to compensate for this effect. Note also that the etchant does not attack the layer below the target material in our illustration. In the ideal case, an etching solution can be formulated that will react only with the target material and not with other materials in contact with it. In practical cases, the other materials exposed to the etchant may be attacked but to a lesser degree than the target material. The etch selectivity of the etchant is the ratio of etching rates between the target material and some other material, such as the mask or substrate material. For example, etch selectivity of hydrofluoric acid for SiO2 over Si is infinite. Thulasikanth AP(OG), SRM University
  • 60. If process control is inadequate, either under-etching or over-etching can occur, as shown in Figure. Under etching, in which the target layer is not completely removed, results when the etching time is too short and/or the etching solution is too weak. Over-etching involves too much of the target material being removed, resulting in loss of pattern definition and possible damage to the layer beneath the target layer. Over-etching is caused by overexposure to the etchant.Thulasikanth AP(OG), SRM University
  • 61. Dry Etching Modern integrated circuits are etched exclusively by dry etching, which involves the use of chemical reactants in a low-pressure system. In contrast to the wet-etching process, dry etching can have a high degree of directionality, resulting in highly anisotropic etching profiles. Also, the dry-etching process requires only small amounts of the reactant gases, whereas the solutions used in the Wet-etching process have to be refreshed periodically. Dry etching usually involves a plasma or discharge in areas of high electric and magnetic fields; any gases that are present are dissociated to form ions, photons, electrons, or highly reactive molecules. Thulasikanth AP(OG), SRM University
  • 62. Sputter Etching. Sputter etching removes material by bombarding the surface with noble gas ions, usually Ar+ . The gas is ionized in the presence of a cathode and an anode. If a silicon wafer is the target, the momentum transfer associated with the bombardment of atoms causes bond breakage and material to be ejected or sputtered. If the silicon chip is the substrate, then the material in the target is deposited onto the silicon after it has been sputtered by the ionized gas.Thulasikanth AP(OG), SRM University
  • 63. Reactive Plasma Etching. Also referred to as dry chemical etching, reactive plasma etching involves chlorine or fluorine ions (generated by RF excitation) and other molecular species that diffuse into and chemically react with the substrate, forming a volatile compound, which is then removed by a vacuum system. I. A reactive species, such as CF4, is produced and dissociates upon impact with energetic electrons to produce fluorine atoms. 2. The reactive species then diffuses into the surface. 3. It becomes adsorbed. 4. The reactive species chemically reacts to form a volatile compound. 5. The reactant then desorbs from the surface. 6. lt diffuses into the bulk gas, where it is removed by a vacuum system. Thulasikanth AP(OG), SRM University
  • 64. Some reactants polymerize on the surface and thus require additional removal, either with oxygen in the plasma reactor or by an external ashing operation. The electrical charge of the reactive species is not high enough to cause damage through impact on the surface, so no sputtering occurs. Thus, the etching is isotropic and undercutting of the mask takes place Thulasikanth AP(OG), SRM University
  • 66. Physical-chemical Etching. Processes such as reactive ion-beam etching (RIBE) and chemically assisted ion-beam etching (CAIBE) combine the advantages of physical and chemical etching. These processes use a chemically reactive species to drive material removal, but this is assisted physically by the impact of ions onto the surface. In RIBE, also known as deep reactive-ion etching (DRIE), vertical trenches hundreds of nanometers deep can be produced by periodically interrupting the etching process and depositing a polymer layer. In CAIBE, ion bombardment can assist dry chemical etching by ° Making the surface more reactive. ° Clearing the surface of reaction products and allowing the chemically reactive species access to the cleared areas. ° Providing the energy to drive surface chemical reactions; however, the neutral species do most of the etching. Thulasikanth AP(OG), SRM University
  • 67. Cryogenic Dry Etching. This method is used to obtain very deep features with vertical walls. The workpiece is lowered to cryogenic temperatures, and then the CAIBE process takes place. The very low temperatures involved ensure that insufficient energy is available for a surface chemical reaction to take place, unless ion bombardment is normal to the surface. Oblique impacts, such as those occuring on sidewalls in deep crevices, cannot drive the chemical reactions. Thulasikanth AP(OG), SRM University
  • 68. Metallization and Testing Functional integrated circuit requires that these devices be interconnected, and this must take place on a number of levels. Interconnections are made with metals that exhibit low electrical resistance and good adhesion to dielectric insulator surfaces. Aluminum and aluminum-copper alloys remain the most commonly used materials for this purpose in VLSI technology today. Device dimensions continue to shrink, electromigration has become more of a concern with aluminum interconnects. Electromigration is the process by which aluminum atoms are moved physically by the impact of drifting electrons under high currents. In extreme cases, electromigration can lead to severed or shorted metal lines. Thulasikanth AP(OG), SRM University
  • 69. Solutions to the problem include (a) the addition of sandwiched metal layers such as tungsten and titanium (b) the use of pure copper, which displays lower resistivity and has significantly better electromigration performance than aluminum. Metals are deposited by standard deposition techniques, and interconnection patterns are generated through lithographic and etching processes. Modern ICs typically have one to six layers of metallization, each layer of metal being insulated by a dielectric. Thulasikanth AP(OG), SRM University
  • 71. Planarization (i.e., producing a planar surface) of these interlayer dielectrics is critical to the reduction of metal shorts and the line width variation of the interconnect. A common method used to achieve a planar surface is a uniform oxide-etch process that smoothens out the peaks and valleys of the dielectric layer. Today’s standard for planarizing high-density interconnects has quickly become chemical-mechanical polishing (CMP) This process entails physically polishing the wafer surface in a manner similar to that by which a disc or belt sander flattens the ridges in a piece of wood. A typical CMP process combines an abrasive medium with a polishing compound or slurry and can polish a wafer to within 0.03 µm of being perfectly flat, with a Rq roughness on the order of 0.1 nm for a new, bare silicon wafer. Layers of metal are connected together by vias, and access to the devices on the substrate is achieved through contacts Thulasikanth AP(OG), SRM University
  • 72. Wafer processing is completed upon application of a passivation layer, usually silicon nitride (Si3N4). The silicon nitride acts as a barrier to sodium ions and also provides excellent scratch resistance. Thulasikanth AP(OG), SRM University
  • 74. Testing The next step is to test each of the individual circuits on the wafer. Each chip, also known as a die, is tested by a computer-controlled probe platform containing needle-like probes that access the bonding pads on the die. The probes are of two forms: Thulasikanth AP(OG), SRM University
  • 75. I. Test patterns or structures. The probe measures test structures (often outside of the active dice) placed in the scribe line (the empty space between dies). These probes consist of transistors and interconnect structures that measure various processing parameters, such as resistivity, contact resistance, and electroinigration. 2. Direct probe. This approach uses 100% testing on the bond pads of each die. The platform scans across the Wafer and uses computer-generated timing waveforms to test whether each circuit is functioning properly. If a defective chip is encountered, it is marked with a drop of ink. Up to one- third of the cost of a microelectronic circuit can be incurred during this testing. After the Wafer-level testing is completed, back grinding may be done to remove a large amount of the original substrate. The final die thickness depends on the packaging requirement, but anywhere from 25 to 75% of the wafer thickness may be removed.Thulasikanth AP(OG), SRM University
  • 76. After back grinding, each die is separated from the Wafer. Diamond sawing is a commonly used separation technique and results in very straight edges with minimal chipping and cracking damage. The chips are then sorted, the functional dice are sent on for packaging, and the inked dice are discarded. IC packages have been manufactured using many different types of materials. The most common materials used today are organic material for CPU's (glass fiber reinforced with Bismaleimide Triazine (BT) resin), and plastic for smaller IC's. Thulasikanth AP(OG), SRM University
  • 77. Wire Bonding and Packaging The Working dice must be attached to a more rugged foundation to ensure reliability. One simple method is to fasten a die to its packaging material with an epoxy cement; another method makes use of a eutectic bond made by heating metal-alloy systems. One Widely used mixture is 96.4% Au and 3.6% Si Once the chip has been attached to its substrate, it must be connected electrically to the package leads. This is accomplished by wire bonding very thin (25µm diameter) gold Wires from the package leads to bonding pads located around the perimeter or down the center of the die. The bonding pads on the die are typically drawn at 75 to 100 µm per side, and the bond wires are attached by means of thermocompression, ultrasonic, or thermosonic techniques Thulasikanth AP(OG), SRM University
  • 80. The connected circuit is now ready for final packaging. The packaging process largely determines the overall cost of each completed IC, since the circuits are mass produced on the Wafer, but are then packaged individually. Packages are available in a Wide variety of styles; the appropriate one must reflect the operating requirements. Consideration of a circuit’s package includes the chip size, number of external leads, operating environment, heat dissipation, and power requirements. For example, Ics used for military and industrial applications require packages of particularly high strength, toughness, and temperature resistance. Packages are produced from polymers, metals, or ceramics. Metal containers are produced from alloys such as Kovar (an iron-cobalt-nickel alloy with a low coefficient of expansion, which provide a hermetic seal and good thermal conductivity, but are limited in the number of leads that can be used. Thulasikanth AP(OG), SRM University
  • 82. Ceramic packages usually are produced from aluminum oxide (AI2O3), are hermetic and have good thermal conductivity, but have higher lead counts than metal packages; however, they are also more expensive. Plastic packages are inexpensive and have high lead counts, but they have high thermal resistance and are not hermetic. An older style of packaging is the dual-in-line package (DIP), Characterized by low cost and ease of handling, DIP packages are made of thermoplastics, epoxies, or ceramics and can have from 2 to 500 external leads. Designed for use over a broader temperature range and in high-reliability and military applications, ceramic packages cost considerably more than plastic packages. Flat ceramic package in which the package and all of the leads are in the same plane. This package style does not offer the ease of handling or the modular design of the DIP package. For that reason, it usually is affixed permanently to a multiple- level circuit board in which the low profile of the flat package is necessary.Thulasikanth AP(OG), SRM University
  • 84. Surface-mount packages have become common for today’s integrated circuits. Surface mount is soldered onto a specially fabricated pad or land-a raised solder platform for interconnections among components in a printed circuit board. Package size and layouts are selected from standard patterns and usually require adhesive bonding of the package to the board, followed by Wave soldering of the Connections. Faster and more versatile chips require increasingly tightly spaced connections. Pin-grid arrays (PGAS) use tightly packed pins that connect onto printed circuit boards by way of through-holes. PGAS and other in-line and surface mount packages are extremely susceptible to plastic deformation of the wires and legs, especially with small-diameter, closely spaced wires. One way of achieving tight packing of connections and avoiding the difficulties of slender connections is through ball-grid arrays (BGAS), Thulasikanth AP(OG), SRM University
  • 85. This type of array has a solder-plated coating on a number of closely spaced metal balls on the underside of the package. The spacing between the balls can be as small as 5 0 um, but more commonly it is standardized as 1.0 mm, 1.27 mm, or 1.5 mm. BGAS can be designed with over 1000 connections, this is extremely rare and usually 200 to 300 connections are sufficient for demanding applications. Thulasikanth AP(OG), SRM University