SlideShare a Scribd company logo
1 of 16
DEPARTMENT OF ELECTRONICS & COMMUNICATION
ENGINEERING
PONDICHERRY UNIVERSITY
TOPIC
PRESENTATION ON METALLIZATION
PRESENTED BY
GANESHKUMAR.P
OUTLINES
 Metallization
 Requirement of Metallization
 Schematic Representation
 MetallizationApplication inVLSI
 Methods of Metallization
 Problems in Metallization
 Vacuum Evaporation
 Different Part of the Apparatus
 Diagram of Local EvaporationTechnique
 Sputter Deposition
 Apparatus
 Advantages
 Disadvantages
METALLIZATION
 Metallization is the process by which the components of the ICS are
interconnected by aluminum conductor or metallization is the process that
connects individual devices together by means of microscopic wires to form
circuits.
 This process produces a thin film of metal layer that will serve as the required
conductor pattern for the interconnection of the various components on the
chips.
 With the help of metallization thousand of devices can be interconnected using
fine line metal patterns.
 The metal films can be formed by various methods like ChemicalVapor
Deposition (CVD) and PhysicalVapor Deposition(PVD).
REQUIREMENTS OF METALLIZATION
 Low resistivity.
 Easy to form.
 Easy to etch for pattern generation.
 Should be Oxidizable.
 Mechanically stable.
 Smooth surface.
 Should be stable towards high temperature dry or wet oxidation etc.
 Should not contaminate wafers.
 Good life time.
 Low contact resistance to devices.
 Reliable long term operation.
 e
SCHEMATIC REPRESENTATION
ADVENTAGE:
• GoodAdherence.
• Easy ti Deposit.
• Good Mechanical Bonds.
• Good Resistance.
DISADVENTAGE:
• Aluminum reacts with gold to form a
metallic compound called purple plague.
• During packing operation, if temperature
goes beyond 500 degree, Aluminum can
get fused and can penetrate the oxide to
cause short circuit.
METALLIZATION APPLICATION VLSI &
METHODS OF METALLIZATION
APPLICATION:
 Used to Gates for MOSFET.
 Used to make Ohmic Contacts.
 Interconnects.
 Used to connect thousand of MOSFET
internally.
 Provides connection to the outside world.
METHODES:
 Sputtering (SPUT).
 Evaporation(EVA).
 Physical vapour Deposition (PVD).
 ChemicalVapour Deposition (CVD).
PROBLEMS IN METALLIZATION
1. DEPOSITION:
Impurities in the films, adhesion and thickness non uniformity are two various problems,
related to deposition. Impurities in the films can be minimized by using pure evaporation
and sputtering sources, high vacuum and clean surface. Cracks in the deposition are
because of poor adhesion or surface unevenness. Controlling these effects will eliminate
cracks.
2. PROCESSING:
Different stages of IC processing utilize metallization. Gate metal is deposited in early
stages and the contact metal towards the end. Thus the gate metal in subjected to
considerable processing while the contact metal experiences very little processing steps.
PROBLEMS IN METALLIZATION
3. METALLURGICAL AND CHEMICAL INTERACTION:
Metallization can be completely destroyed by reaction induced by thermally activated
process with the substrates or layer the top.
4. ELECTRO MIGRATION:
The corresponding current density becomes large. High current densities can cause devices
failure due to Electromigration.
VACUUM EVAPORATION:
In this process the material to be evaporated is heated in a evacuated chamber so that it attains a
gaseous state. Vapour of thin material transvers the space from the source to the substrate on which
they eventually land. The pressure is maintained well below 10 torr.
DIFFERENT PARTS OF THE
APPARATUS
 ROUGHING PUMP: It is used to evacuate the chamber.
 HIGHVACUUM PUMP: Maintain high vacuum by reducing pressure with the help by
nitrogen cooled trap.
 SPUTTER GAS: Is used to clean the surface of the wafer.
The evaporation technique is of following two type:
 GLOBAL: Energy is supplied to the entire mass of the source present. The major
problem with this scheme is that the boat containing the source material also gets
evaporated and thus contaminates the deposition film.
 LOCAL: It employs laser beam and electron beam evaporation. In this systems a beam
of focused electron is allowed to be incident on the sources contained in the crucible. The
beam causes the source material to metal and vaporize locally at the point of incidence of
the beam.
DIAGRAM OF LOCAL EVAPORATION
TECHNIQUE
SPUTTER DEPOSITION
PRICIPAL:
Sputtering is driven by momentum exchange between the ions and
atoms in the material due to collision. The process can be thought of as atomic
billiards, with the ion striking a large cluster of close-packed atoms.
APPARATUS
The required breakdown voltage is given by the Pascal’s Law
V α P*L
log P*L+b
P= Chamber Pressure
L= Electrode Spacing
b= is a Constant
APPARATUS
ADVANTAGES & DISADVANTAGES
ADVANTAGES:
 The ability to deposit a wide variety
of metal and insulation.
 The capability of cleaning the
substrate prior to film deposition.
DISADVANTAGES:
 This process damages the surface of
the substrate.
THANK YOU
FOR YOUR ATTENTION

More Related Content

What's hot

Ion beam lithography
Ion beam lithographyIon beam lithography
Ion beam lithography
Hoang Tien
 

What's hot (20)

Ion implantation
Ion implantationIon implantation
Ion implantation
 
Metamaterial
MetamaterialMetamaterial
Metamaterial
 
Plasma Etching
Plasma Etching Plasma Etching
Plasma Etching
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
photolithography
photolithographyphotolithography
photolithography
 
Ion beam lithography
Ion beam lithographyIon beam lithography
Ion beam lithography
 
Wire bonding
Wire bondingWire bonding
Wire bonding
 
Hetero junction
Hetero junctionHetero junction
Hetero junction
 
Etching
EtchingEtching
Etching
 
schottky barrier and contact resistance
schottky barrier and contact resistanceschottky barrier and contact resistance
schottky barrier and contact resistance
 
Fabrication process of Integrated Circuit (IC's)
Fabrication process of Integrated Circuit (IC's)Fabrication process of Integrated Circuit (IC's)
Fabrication process of Integrated Circuit (IC's)
 
FIBER OPTIC SENSORS
FIBER OPTIC SENSORSFIBER OPTIC SENSORS
FIBER OPTIC SENSORS
 
Metallization
MetallizationMetallization
Metallization
 
Cvd and pvd
Cvd and pvdCvd and pvd
Cvd and pvd
 
Optoelectronics
OptoelectronicsOptoelectronics
Optoelectronics
 
Metal Semi-Conductor Junctions
Metal Semi-Conductor JunctionsMetal Semi-Conductor Junctions
Metal Semi-Conductor Junctions
 
Piezoelectric Sensors: Brief Discussion
Piezoelectric Sensors: Brief DiscussionPiezoelectric Sensors: Brief Discussion
Piezoelectric Sensors: Brief Discussion
 
Fiber Optic Sensors
Fiber Optic SensorsFiber Optic Sensors
Fiber Optic Sensors
 
Molecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETMolecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUET
 
Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)
 

Similar to Metallization

Introduction_to_Electroforming
Introduction_to_ElectroformingIntroduction_to_Electroforming
Introduction_to_Electroforming
Kathleen Stillman
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
Toru Hara
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lecture
Toru Hara
 
Bipolar transistor fabrication new new new
Bipolar transistor fabrication new new newBipolar transistor fabrication new new new
Bipolar transistor fabrication new new new
AbhroneelMoitra
 

Similar to Metallization (20)

High speed semiconductor devices ppt
High speed semiconductor devices pptHigh speed semiconductor devices ppt
High speed semiconductor devices ppt
 
Pvc cmos finale
Pvc cmos finale Pvc cmos finale
Pvc cmos finale
 
Introduction_to_Electroforming
Introduction_to_ElectroformingIntroduction_to_Electroforming
Introduction_to_Electroforming
 
PPT 2.ppt
PPT 2.pptPPT 2.ppt
PPT 2.ppt
 
Welding lectures 11 13
Welding lectures 11 13Welding lectures 11 13
Welding lectures 11 13
 
UTILIZATION OF ELECTRICAL ENERGY AND TRACTION. process of electro-deposition-...
UTILIZATION OF ELECTRICAL ENERGY AND TRACTION. process of electro-deposition-...UTILIZATION OF ELECTRICAL ENERGY AND TRACTION. process of electro-deposition-...
UTILIZATION OF ELECTRICAL ENERGY AND TRACTION. process of electro-deposition-...
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lecture
 
MONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxMONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptx
 
Electron Beam Welding
Electron Beam WeldingElectron Beam Welding
Electron Beam Welding
 
Industrial metal finishing.ppt
Industrial metal finishing.pptIndustrial metal finishing.ppt
Industrial metal finishing.ppt
 
Module-1.pptx
Module-1.pptxModule-1.pptx
Module-1.pptx
 
Internship Presentation on Characterization of Stainless Steel-Titanium Diffu...
Internship Presentation on Characterization of Stainless Steel-Titanium Diffu...Internship Presentation on Characterization of Stainless Steel-Titanium Diffu...
Internship Presentation on Characterization of Stainless Steel-Titanium Diffu...
 
ECT.pptx
ECT.pptxECT.pptx
ECT.pptx
 
Bipolar transistor fabrication new new new
Bipolar transistor fabrication new new newBipolar transistor fabrication new new new
Bipolar transistor fabrication new new new
 
Ic fab
Ic fabIc fab
Ic fab
 
Paul Ahern - Copper/ low-K Interconnect Technology
Paul Ahern - Copper/ low-K Interconnect TechnologyPaul Ahern - Copper/ low-K Interconnect Technology
Paul Ahern - Copper/ low-K Interconnect Technology
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
 
Corrosion and Degradation of Materials-chapter 16
Corrosion and Degradation of Materials-chapter 16Corrosion and Degradation of Materials-chapter 16
Corrosion and Degradation of Materials-chapter 16
 
Underwater welding
Underwater weldingUnderwater welding
Underwater welding
 

Recently uploaded

An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdf
SanaAli374401
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
negromaestrong
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
MateoGardella
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
PECB
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
kauryashika82
 

Recently uploaded (20)

Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdf
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdf
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
PROCESS RECORDING FORMAT.docx
PROCESS      RECORDING        FORMAT.docxPROCESS      RECORDING        FORMAT.docx
PROCESS RECORDING FORMAT.docx
 
Unit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptxUnit-IV- Pharma. Marketing Channels.pptx
Unit-IV- Pharma. Marketing Channels.pptx
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 

Metallization

  • 1. DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING PONDICHERRY UNIVERSITY TOPIC PRESENTATION ON METALLIZATION PRESENTED BY GANESHKUMAR.P
  • 2. OUTLINES  Metallization  Requirement of Metallization  Schematic Representation  MetallizationApplication inVLSI  Methods of Metallization  Problems in Metallization  Vacuum Evaporation  Different Part of the Apparatus  Diagram of Local EvaporationTechnique  Sputter Deposition  Apparatus  Advantages  Disadvantages
  • 3. METALLIZATION  Metallization is the process by which the components of the ICS are interconnected by aluminum conductor or metallization is the process that connects individual devices together by means of microscopic wires to form circuits.  This process produces a thin film of metal layer that will serve as the required conductor pattern for the interconnection of the various components on the chips.  With the help of metallization thousand of devices can be interconnected using fine line metal patterns.  The metal films can be formed by various methods like ChemicalVapor Deposition (CVD) and PhysicalVapor Deposition(PVD).
  • 4. REQUIREMENTS OF METALLIZATION  Low resistivity.  Easy to form.  Easy to etch for pattern generation.  Should be Oxidizable.  Mechanically stable.  Smooth surface.  Should be stable towards high temperature dry or wet oxidation etc.  Should not contaminate wafers.  Good life time.  Low contact resistance to devices.  Reliable long term operation.  e
  • 5. SCHEMATIC REPRESENTATION ADVENTAGE: • GoodAdherence. • Easy ti Deposit. • Good Mechanical Bonds. • Good Resistance. DISADVENTAGE: • Aluminum reacts with gold to form a metallic compound called purple plague. • During packing operation, if temperature goes beyond 500 degree, Aluminum can get fused and can penetrate the oxide to cause short circuit.
  • 6. METALLIZATION APPLICATION VLSI & METHODS OF METALLIZATION APPLICATION:  Used to Gates for MOSFET.  Used to make Ohmic Contacts.  Interconnects.  Used to connect thousand of MOSFET internally.  Provides connection to the outside world. METHODES:  Sputtering (SPUT).  Evaporation(EVA).  Physical vapour Deposition (PVD).  ChemicalVapour Deposition (CVD).
  • 7. PROBLEMS IN METALLIZATION 1. DEPOSITION: Impurities in the films, adhesion and thickness non uniformity are two various problems, related to deposition. Impurities in the films can be minimized by using pure evaporation and sputtering sources, high vacuum and clean surface. Cracks in the deposition are because of poor adhesion or surface unevenness. Controlling these effects will eliminate cracks. 2. PROCESSING: Different stages of IC processing utilize metallization. Gate metal is deposited in early stages and the contact metal towards the end. Thus the gate metal in subjected to considerable processing while the contact metal experiences very little processing steps.
  • 8. PROBLEMS IN METALLIZATION 3. METALLURGICAL AND CHEMICAL INTERACTION: Metallization can be completely destroyed by reaction induced by thermally activated process with the substrates or layer the top. 4. ELECTRO MIGRATION: The corresponding current density becomes large. High current densities can cause devices failure due to Electromigration.
  • 9. VACUUM EVAPORATION: In this process the material to be evaporated is heated in a evacuated chamber so that it attains a gaseous state. Vapour of thin material transvers the space from the source to the substrate on which they eventually land. The pressure is maintained well below 10 torr.
  • 10. DIFFERENT PARTS OF THE APPARATUS  ROUGHING PUMP: It is used to evacuate the chamber.  HIGHVACUUM PUMP: Maintain high vacuum by reducing pressure with the help by nitrogen cooled trap.  SPUTTER GAS: Is used to clean the surface of the wafer. The evaporation technique is of following two type:  GLOBAL: Energy is supplied to the entire mass of the source present. The major problem with this scheme is that the boat containing the source material also gets evaporated and thus contaminates the deposition film.  LOCAL: It employs laser beam and electron beam evaporation. In this systems a beam of focused electron is allowed to be incident on the sources contained in the crucible. The beam causes the source material to metal and vaporize locally at the point of incidence of the beam.
  • 11. DIAGRAM OF LOCAL EVAPORATION TECHNIQUE
  • 12. SPUTTER DEPOSITION PRICIPAL: Sputtering is driven by momentum exchange between the ions and atoms in the material due to collision. The process can be thought of as atomic billiards, with the ion striking a large cluster of close-packed atoms.
  • 13. APPARATUS The required breakdown voltage is given by the Pascal’s Law V α P*L log P*L+b P= Chamber Pressure L= Electrode Spacing b= is a Constant
  • 15. ADVANTAGES & DISADVANTAGES ADVANTAGES:  The ability to deposit a wide variety of metal and insulation.  The capability of cleaning the substrate prior to film deposition. DISADVANTAGES:  This process damages the surface of the substrate.
  • 16. THANK YOU FOR YOUR ATTENTION