SlideShare uma empresa Scribd logo
1 de 19
Baixar para ler offline
95




                             CHAPITRE 6
                        COMPTEURS SYNCHRONES

-   Séquenceur : Circuit ou système qui passe à travers une série d’états successifs grâce à une
    horloge synchrone ou asynchrone.
    Ex : Machine à laver.


-   Au chapitre 6, nous allons voir les séquenceurs fabriqués à l’        aide de Flips Flops tous
    connectés à une horloge commune.
    Ex : Compteur (avec comme seule entrée extérieure : CK, l’    horloge)
         + avec de façon optionnelle : reset, load, halt (mise à zéro, chargement, arrêt).


    Si d’autres entrées sont présentes, on parlera de machines d’état ("State machine", vus au
    chapitre 7).


    État (STATE) : À tout moment, les sorties de tous les flips flops constituent son état.


    Une table : État présent – État futur (Present-State → Next State ou PS-NS) : sera employée
    pour le design.


-   Aussi : registres synchrones.
-   Avec le chapitre 6, on pourra faire le design de n’importe quel compteur synchrone !


6.1 Circuit séquentiel, état, horloge

Circuit synchrone : élément de mémoire : Flip Flop, même horloge pour tous les Flips Flops
Circuit séquentiel : élément de mémoire : latch et Flip Flop


Rappel : Flip Flop, l’horloge est "edge-sensitive" et Latch, l’horloge est "level-sensitive".


6.2 État et sortie

-   Pour déterminer l’état futur :
    • Circuit combinatoire : il faut connaître : les entrées présentes + diagramme des portes
96

   • Circuit séquentiel : il faut connaître : - l’histoire des entrées
                                              - les entrées
                                              - le diagramme du circuit


"L’histoire des entrées" = sorties courantes des Flips Flops, c’ l’ du circuit.
                                                                est état


Si N Flip Flop ⇒ au maximum 2N différents états.


L’état du circuit n’ pas toujours égal à la sortie des Flips Flops (si logique combinatoire en
                    est
sortie).


Exemple :


                                                         Schéma général d’ "Machine de Moore"
                                                                          une



Signaux de
Feed-back




Exemple : Shift register.

La sortie = dernier FF seulement.


                                                                         (First In - First Out)




Les Flips Flops ne sont pas directement reliés à la sortie. Ils sont dits cachés ("hidden").


Si on veut une machine à M états (cycles de M états) avec N Flip Flop ⇒         2N ≥ M
(Parfois on peut mettre plus de FF que le minimum requis).
97

Les ÉTATS d’ circuit séquentiel peuvent aussi avoir un nom : ATTENTE, ACCEPTE,
            un
DÉMARRE LE MOTEUR, etc.


Un choix judicieux des noms des états et des variables peut faciliter le design comme on verra
plus tard.


6.3 Fréquence maximum avec 1 seule horloge

-   On emploie 1 seule fréquence d’horloge pour tous les FF et tous les FF sont du même
    type ↑ ou ↓.
-   L’horloge ne doit pas être retardée par des portes logiques, ceci causerait des arrivées de
    coups d’ horloge à des instants différents à cause du "Skew" de l’ horloge.
-   À cause des avantages de synchronisme, plus de 90 % des circuits emploient une seule
    horloge et sont du type "séquentiel". (Ça élimine les courses et le "catching").
-   Sorties stables qui ne changent qu’ coup d’
                                        au         horloge.
-   Quelle est la fréquence Max de l’ horloge ?


On a la topologie suivante :




                                            Délai ∆ C                Délai ∆ f   + Set uptime
                                                                                 + hold time
⇒ période minimum             t MIN = ∆ C + ∆ f + t setup + t hold

                   ⇒                  1
                              f =
                                    T min

Ici thold = 0 (négligeable)
Ex : 1 ns pour 74F175


L’horloge doit être stable aussi


Ex : pour une montre, on emploie un cristal de quartz.
98

Circuit de communication à 9600 bits par seconde (BPS), on emploie un cristal de 11.059 Mhz
(dans le microcontrôleur 8051, par exemple).


Fan-Out (Distribution Maximale)


Puisque l’horloge est distribuée à tous les FF, l’horloge peut se dégrader.


Solutions :
1) Circuit spécial d’horloge qui fournit beaucoup de courant.
2) Employer des buffers comme suit :




   -   l’inversion n’affecte pas le système
   -   permet de maintenir un "fast rise time" (horloge n’ pas "skewed")
                                                          est


3) Emploi des Schimitt trigger : "transform slowly changing input signals into sharply defined
   signals". Il y a donc une bonne immunité au bruit.
99

6.4 Étapes d’analyse et de design


A- Étapes d’analyse (circuit synchrone avec Flips Flops, sans entrée)

1. Séparer les Flips Flops et la logique combinatoire de stimulation.
2. Étudier la logique de stimulation et obtenir une équation booléenne pour chacune des entrées
   des Flips Flops.
3. Assumer qu’ début, le circuit est dans un état zéro, donc, mettre "0" dans les équations
                au
   booléennes.
4. Obtenir les nouvelles valeurs de sortie et les mettre dans les équations pour déterminer l’ état
   suivant.
5. Reprendre l’ étape 4 jusqu’ ce que tous les états suivants soient déterminés. Indiquer les états
                               à
   dans des tables de Karnaugh, diagramme en anneaux.


B- Étapes de design (circuit synchrone avec Flips Flops, sans entrée)

1. Exprimer chaque nombre de la séquence en binaire. Chaque nombre correspondra à un État.
2. Déterminer une méthode pour assigner les États M aux sorties des Flips Flops.
3. Établir la table État-Présent ⇒ État Suivant.
4. Choisir le type de Flip Flop : T, JK, D (D par défaut).
5. Établir les relations entre les États.
6. Employer les États présents comme entrées dans les tables de vérité.
7. Assigner l’  état de retour aux états inemployés.
8. Trouver les équations à partir des tables de vérité du point 6.
9. Monter les sorties comme combinaison des sorties des Flips Flops.
10. Réaliser la logique combinatoire de stimulation à partir des équations.


C- Étapes de design (registres à décalage cyclique – cyclic shift register)

1. Établir la liste successive des états dans la table ÉTAT PRÉSENT → ÉTAT SUIVANT
   (Present State → Nest State).
2. Ajouter le(s) cas d’ initialisation pour le démarrage automatique (e.g. 000 000).
3. Synthétiser l’ Entrée série (Serialln) comme la somme des mintermes pour lesquels Serialln =
   1 dans la liste successive des états.
100

Table 6.1 State Changes for Three Flip-Flops (Extrait de "Digital Design from Zero to One" p.312)


                        D Flip-Flop     Toggle Flip-Flop          JK Flip-Flop
QN + 1      QN 1
                             D             ENABLE            J     K      J     K
                                                             0     1
    0 → 0                     0                  0                        0     X
                                                             0     0
                                                             1     0
    0 → 1                     1                  1                        1     X
                                                             1     1
                                                             0     1
    1 → 0                     0                  1                        X     1
                                                             1     1
                                                             0     0
    1 → 1                     1                  0                        X     0
                                                             1     0


Exemple 1 : (sera fait en classe)




                                                                   Tiré de "Digital Design", J. D.
                                                                   Daniels, 1ere édition, p.313,
                                                                   Fig. 6.10
101

Exemple 2 : (sera fait en classe)




                                                                             Tiré de "Digital Design", J. D.
                                                                             Daniels, 1ere édition, p.315,
                                                                             Fig. 6.12




6.5 Design de compteurs synchrones

-    Maintenant : étant donné la séquence → quel est le design ?
-    À considérer :     • minimisation/optimisation des états
                        • choix du Flip Flop (habituellement "D")
                        • assignation des noms des variables
                        • éviter les états non désirés "lock out" Auto-correcteur

Considérations pratiques

1.   S’ y a M états ⇒ au moins N Flip Flop, 2 N ≥ M
       il
2.   Parfois pratique d’ avoir + d’état que le minimum.
3.   Cas extrême : 1 FF/état
4.   Prévoir un état retour ou "ground state" : point de retour pour tous les états inemployés.
     Souvent 0000.
     Si États inemployés = "X" dans le design des tables, pas sûr qu’ pourra revenir en cas de
                                                                      on
     défaillance (attention !).

     Exemple :   Faire le design d’ compteur que répète la séquence 0, 3, 6, 9, 12, 0...
                                   un
                 Employer le code binaire pour le codage des FF D, employer les sorties des FF
                 comme sortie.
                 Ces spécifications ne donnent pas beaucoup de choix au designer.
102

Étape 1 et 2 : La sortie des Flips Flops est déterminée par les spécifications.

   #          Q3        Q2        Q1          Q0
   0          0         0         0           0
   3          0         0         1           1
   6          0         1         1           0
   9          1         0         0           1
   12         1         1         0           0

Étape 3 :

                  État présent                          État suivant
             Q3       Q2    Q1    Q0               Q3       Q2     Q1   Q0        D3   D2   D1    D0
    0        0         0     0    0                0         0     1    1         0    0    1     1
    3        0         0     1    1                0         1     1    0         0    1    1     0
   6         0         1     1    0                1         0     0    1         1    0    0     1
   9         1         0     0    1                1         1     0    0         1    1    0     0
   12        1         1     0    0                0         0     0    0         0    0    0     0


Étape 4 : On emploie FF – D (Avantage des FF-D, le design est plus aisé).


Étape 5 :   Relation entre les états : facile avec FF-D.
            Si Q 3 Q 2 Q1Q 0 = 0000 et D 3 D 2 D1D 0 = 0011
            L’état futur sera Q 3 Q 2 Q1Q 0 = 0011

Étape 6 : Obtenu de la table de l’étape 3 :
103

Étape 7 : Il est sage de laisser des zéros dans les tables de Karnaugh (T-K) précédentes pour
          avoir un état de retour connu "ground state".

Étape 8 : On obtient les équations.

          D0 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0
          D1 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0
          D2 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0
          D3 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0

Étape 9 : Les sorties sont directement les sorties des FF.




                                                                            On a choisi une
                                                                            représentation genre
                                                                            PAL ici.




6.6 Compteurs à codage positionnel

-   Ce sont des compteurs dont la sortie s’ incrémente ou décrémente à chaque coup d’horloge.
-   État des Flips Flops directement à la sortie du circuit.
104

6.7 Compteur à décade décrémental avec FF-D Toggle

Ce sont des compteurs de type 9---0---9---0---9 : 10 états ⇒ compteur à décade.

6.8 Compte supérieur en employant le "Ripple Carry output" (RCO)

-   On peut réaliser des compteurs à 8, 12, 16 bits avec la méthode précédente, mais le coût est
    élevé à cause de la logique d’excitation combinatoire ⇒ approche pas intéressante.
-   Approche plus intéressante : cascade de circuits de compteur MSI (Medium Scale
    Integration). Exemple : CB4CLED de Xilinx.
    CB4CLEB : compteur 4 bits up/down avec external load, 2 Enable. Permet de réaliser en
    cascadant N unités, des compteurs à 4 N états.
-   Le Rco peut être employé pour créer un bit supplémentaire en l’  envoyant à un "toggle
    enable" d’ FF externe.
              un

Exemple :




-   Que faire pour cascader des compteurs 4 bits ?
    On a pas accès au contrôle de l’entrée du 1er FF
    Si on a seulement accès à l’horloge, alors on propage RCO sur CK2
105



-   On voit qu’ faut employer Rco pour des compteurs ↑ positive edge triggened.
                 il
-   Il y aura 1 délai ∆ = tpd (temps propagation dans porte ET synthèse Rco .
-   Approche moins pire qu’ "full ripple" compteur avec 8 x tpd (ici 1 seul tpd).
                               un

6.9 Registre à décalage pour le design de compteurs synchrones

-   On va voir quelles sont les séquences disponibles avec un "Shift register" (MSI).

Déf : ensemble de bascules "D" edge-triggened connectées dos à dos, horloge commune.




DIN = vient de l’extérieur ou peut-être généré de l’intérieur (cas des compteurs).

Pour DM, M ≠ 0 on a DM = Qm-1, donc il y a des limitations aux séquences disponibles.

6.10 Serial In – Parrallel Out shift register (SR) ou SI PO




                  Registre à décalage cyclique (RDC)

On a aussi des "cyclic SR" *SIPO pour lequel la Serial input est une combinaison des sorties des
FF.
* pas d’entrée externe admise.




Registres    • série – série           SI   SO
             • série – parallèle       SI   PO
             • parallèle – série       PI   SO
             • parallèle – parallèle   PI   PO
106


Exemple circuit TTL                #74164     (SI PO)        avec mise à zéro asynchrone



                                                                Shift register 8 bits
  (asynchrone)

  le produit DSA DSB est
  entré




Registre à 8 bits :

Table :
CLR         CK          DSA         DSB      Q0         Q1         …    Q7         Mode
L           X           X           X        L          L          …    L          Clear
H           ↑           L           L        L          Q0         …    Q6
H           ↑           L           H        L          Q0         …    Q6         Shift
H           ↑           H           L        L          Q0         …    Q6
H           ↑           H           H        H          Q0         …    Q6

8-bi serial-in parallel-out shift register




Note : MR = Master Reset
107

FUNCTION TABLE

INPUTS                                   OUTPUTS
                                                                   OPERATING MODE
MR   CP            Dsa      Dsb          Q0       Q1…   . Q7
L    X             X        X            L        L       L        Reset (clear)
H    ↑             l        l            L        q0      q6
H    ↑             l        h            L        q0      q6
                                                                   Shift
H    ↑             h        l            L        q0      q6
H    ↑             h        h            H        q0      q6

H    = High voltage level
h    = High voltage level one set-up time prior to the Low-to-High clock transition
L    = Low voltage level
l    = Low voltage level one set-up time prior to the Low-to-High clock transition
Qn   = Lower case letters indicate the state of the referenced input (or output) on setup time prior to the Low-to-
     High
       clock transition
X    = Don’ care
            t
↑    = Low-to-High clock transition




6.11 Analyse des Registres SR Cycliques [CSR] cyclic Shift Register


On décode comme ceci : Qi → Qi + 1, i = 0, … N –1                 N = Nb de bits
                       Qo = f(Qi, i = 0, … N) ≤ fonction booléenne

                                     (        )
Après un certain nb de cycles, ≤2 N la séquence recommence

-    Pour déterminer la séquence :            - simulation
                                              - la faire à la maison

Ex : CSR
108

Très simple
Un simple inverseur constitue la logique de Sin
Quelle est la séquence ?
On commence avec ‘   0000’(contenu des FF).
Solution : le SR va se remplir de 1 puis se vider avec au total 8 états

         Q1   Q2   Q3   Q4                                 Q1       Q2    Q3     Q4
    1-   0    0    0    0                                  0        1     0      1
    2-   1    0    0    0                                  0        0     1      0
    3-   1    1    0    0                                  1        0     0      1    Switch – tailed
    4-   1    1    1    0                                  0        1     0      0    Ring compteur
    5-   1    1    1    1                                  1        0     1      0
    6-   0    1    1    1                                  1        1     0      1
    7-   0    0    1    1                                  0        1     1      0
    8-   0    0    0    1                                  1        0     1      1
    8 états                                                0        1     0      1


    Si on commence à zéro                                 Si on commence ailleurs
    Séquence de MOEBIUS                                 Séquence ANTI-MOEBIUS
État suivant diffère d’ seul bit (code gray)
                       un                            Tous les FF changent après le coup d’horloge sauf 1

Design avec les CSR

-    On peut implanter toute séquence qui "se déroule avec un patron décalé" (that un folds with a
     horizontal shift pattern).
-    En faisant le design, voir si on peut avoir un patron qui se déroule à gauche ou à droite.

Ex : - 5 jointures/articulations d’ robot qui doivent être pliées en séquence comme suit :
                                   un


                        A        B        C         D           E         Serial in
                        1        0        0         0           0            0
                        0        1        0         0           0            0
                        0        0        1         0           0            0
                        0        0        0         1           0            0
                        0        0        0         0           1            1

Solution :

     -   On emploie 5 FF, chaque sortie FF commande une articulation, un joint.
     -   On aurait pu y aller avec 3FF (23 = 8 > 5 états requis) mais avec 2 FF de plus, aucun
         décodage de sortie !
109


-    Quelle est la logique combinatoire que va faire circuler un 1 ?
-    Une approche : Considérons les 5 sorties ⇒ 25 = 32 cas
     De ceux-ci les cas :

                                             A    B     C     D    E
                                             Q0   Q1    Q2    Q3   Q4   SIN
On recommence la séquence                    0    0     0     0    1    1        ABCDE
Cas d’ reset
      un                                     0    0     0     0    0    1        0000X


On peut synthétiser Sin directement avec :

Sin = Q0 + Q1 + Q2 + Q3 , Q4 n’ pas d’
                               a      importance ici (Q4 = 1 ou Q4 = 0 et SIN = 1)
         = Q0 ⋅Q1 ⋅Q2 ⋅Q3

On a alors le design suivant :




     Il faut A = B = C = D = 0 pour avoir DIN mis à 1.

-    Avec ce design peut importe l’   état des FF, après 5 coups d’horloge au maximum, un 1 va
     circuler dans le système (tous les 1 sont réinjectés à 0 à cause de la porte NOR).
-    Q4 peut être vu comme un témoin qui avertit lors qu’ a accompli un cycle, peut servir à
                                                             on
     arrêter le système.
-    Ce système est appelé "one-hot sequencer".
     Assigne 1 FF par état ⇒ pas efficace comme usage des FF
     Très employés.
-    Si on avait voulu faire circuler un "0" au lieu d’ 1
                                                       un
     a) mettre des inverseurs c’ un "one-cold sequencer"
                                  est
     b) employer une porte NAND (NAND = 0 lorsque toutes les entrées sont 1).




    Q0   Q1   Q2     Q3     Q4         SIN
    1    1    1      1      1    ⇒     0      - reset
    1    1    1      1      1    ⇒     0      - début nouveau cycle
110


-     On peut faire circuler plus qu’ 1 à la fois, mais n’
                                     un                   importe quel mot d’ état à la fois.
                                                                             un

Généralisons la méthode de design :

1- Établir la liste de la succession des états présent → états futurs incluant le "Serial in".
2- Ajouter le (les) cas d’  initialisation pour le "démarrage automatique" ex : 0000 0000.
3- Synthétiser Serial in
   Serial in = la somme des mintermes pour lesquels Serial in = 1 dans la liste successive des
   états.

6.12 Générateur pseudo-aléatoire

C’ un CSR avec un grand nombre de bascules. Le compteur passe dans beaucoup d’
  est                                                                         états
  N
(2 au maximum).

Utilité en cryptage de séquence série
Utilité en génération de bruits répétitif



                                                          Passe dans 15 des 16 états sauf 1111 qui
                                                          est un cul-de-sac.



On a :
                               PS

          Q1      Q2      Q3        Q4      Sin                                                 Sin
                                                      Q1 Q2 Q3 Q4                  Q3   Q4
     0)   0       0       0         0       1         1 1 1 1                      0    0       1
     8)   1       0       0         0       1                                      0    1       0
                                                      1 1 1 1
    12)   1       1       0         0       1                                      1    0       0
    14)   1       1       1         0       0                                      1    1       1
     7)   0       1       1         1       1
    11)   1       0       1         1       1
    13)   1       1       0         1       0       1111 est un cul-de-sac ici !
     6)   0       1       1         0       0
     3)   0       0       1         1       1
     9)   1       0       0         1       0
     4)   0       1       0         0       1
    10)   1       0       1         0       0
     5)   0       1       0         1       0
     2)   0       0       1         0       0
     1)   0       0       0         1       0
     0)   0       0       0         0       1
111

La sortie du générateur pseudo-aléatoire peut-être n’importe quel sortie des FF puisque le patron
n’ pas important ici.
  est

6.13 Unités cachées

Elles peuvent parfois résoudre le problème lors de la répétition des mêmes nombres.

Exemple : séquence 5 → 5 → 4 → 2 puis 5 → 5 → 4 → 2 …


6.14 Compteur + ROM pour créer une séquence arbitraire



                                    2M ≥ N

                                    N = longueur de la séquence
                                    M = nb de bits dans le compteur




Si N n’ pas une puissance de 2, il faut prévoir un mécanisme de rechargement du compteur ou
       est
effacement avec CLR synchrone qui peut être commandé avec une des lignes du ROM

On peut aussi employer un contrôleur microprogrammé qui permet en plus de réaliser : sauts,
sous-routines, boucles.

Définition : Circuit séquentiel qui assure la fonction de comptage (binaire ou autre) avec 2N
             états sans aucun décodeur de sortie. Sorties = état des FF à chaque coup d’ horloge.

6.15 Types de compteur

1- Ripple (série) ou à débordement (asynchrone)

2-   Binaire :
     -    up/down, sortie en binaire
     -    ck commune
     -    la longueur de la séquence est le modulo
3- En anneau :
   - fait circuler un 1 ou un 0
   - possède autant d’  états que de FF
   - plusieurs cycles sont réalisés, un seul est valide ⇒ initialisation requise

Exemple :     one-hot, two-hot
              one-cold, two-cold
              sequencer
112




4- Johnson

   -   similaire au compteur en anneau
   -   offre 2 fois plus d’états que de FF grâce à un décodage simple.

Ex :




Exemple de circuit 74163

5. Anneau à séquence arbitraire
      QA QB QC Décodeur d’       état
      0     0     0       Q A QC
       1     0      0      Q A QB
       1     1      0      Q B QC
       1     1      1      Q A QC
       0     1      1      Q A QB
       0     0      1      QBQ C
113

Si K bits ⇒ séquence de 2K états

Problème les états inemployés ⇒ lock-out (cul-de-sac) :

                   PS                                   NS
              QA   QB QC           DA DB DC QA          QB QC
              0    1    0     →    1    0     1    1    0        1
              1    0    1     →    0    1     0    0    1        0


-   Caractéristique : Le dernier bit inversé est injecté en DA
-   Appelés : "Switch-tailed ring" compteurs.


6- Compteurs à séquence quelconqu

Mais conteúdo relacionado

Mais procurados

Tp n6 les compteurs
Tp n6 les compteursTp n6 les compteurs
Tp n6 les compteursHatem Jebali
 
TP Les bascules - logique combinatoire
TP Les bascules - logique combinatoire TP Les bascules - logique combinatoire
TP Les bascules - logique combinatoire bilal001
 
Polycop synchrone
Polycop synchronePolycop synchrone
Polycop synchroneomar971638
 
Cours4 Systèmes linéaires asservis: Analyse de la stabilité
Cours4 Systèmes linéaires asservis: Analyse de la stabilitéCours4 Systèmes linéaires asservis: Analyse de la stabilité
Cours4 Systèmes linéaires asservis: Analyse de la stabilitésarah Benmerzouk
 
Cours2 Réponse temporelle des systèmes dynamiques continus LTI
Cours2 Réponse temporelle des systèmes dynamiques continus LTICours2 Réponse temporelle des systèmes dynamiques continus LTI
Cours2 Réponse temporelle des systèmes dynamiques continus LTIsarah Benmerzouk
 
Les bascules -www.cours-online.com
Les bascules -www.cours-online.comLes bascules -www.cours-online.com
Les bascules -www.cours-online.commorin moli
 
ELE2611 Classe 4 - Filtres analogiques linéaires II
ELE2611 Classe 4 - Filtres analogiques linéaires IIELE2611 Classe 4 - Filtres analogiques linéaires II
ELE2611 Classe 4 - Filtres analogiques linéaires IIJerome LE NY
 
124776153 td-automatique-1 a-jmd-2011
124776153 td-automatique-1 a-jmd-2011124776153 td-automatique-1 a-jmd-2011
124776153 td-automatique-1 a-jmd-2011sunprass
 
Cours electronique conversion de donnees
Cours electronique conversion de donneesCours electronique conversion de donnees
Cours electronique conversion de donneesRachid Richard
 
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTI
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTICours3 Réponse fréquentielle des systèmes dynamiques continus LTI
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTIsarah Benmerzouk
 
47811458 exercices-systemes-echantillonnes
47811458 exercices-systemes-echantillonnes47811458 exercices-systemes-echantillonnes
47811458 exercices-systemes-echantillonnesTRIKI BILEL
 
Transmittance complexe - Fonction de transfert
Transmittance complexe - Fonction de transfertTransmittance complexe - Fonction de transfert
Transmittance complexe - Fonction de transfertPeronnin Eric
 

Mais procurados (20)

Tp n6 les compteurs
Tp n6 les compteursTp n6 les compteurs
Tp n6 les compteurs
 
TP Les bascules - logique combinatoire
TP Les bascules - logique combinatoire TP Les bascules - logique combinatoire
TP Les bascules - logique combinatoire
 
Logique
LogiqueLogique
Logique
 
States machines (1)
States machines (1)States machines (1)
States machines (1)
 
Polycop synchrone
Polycop synchronePolycop synchrone
Polycop synchrone
 
Cours4 Systèmes linéaires asservis: Analyse de la stabilité
Cours4 Systèmes linéaires asservis: Analyse de la stabilitéCours4 Systèmes linéaires asservis: Analyse de la stabilité
Cours4 Systèmes linéaires asservis: Analyse de la stabilité
 
Cours2 Réponse temporelle des systèmes dynamiques continus LTI
Cours2 Réponse temporelle des systèmes dynamiques continus LTICours2 Réponse temporelle des systèmes dynamiques continus LTI
Cours2 Réponse temporelle des systèmes dynamiques continus LTI
 
Regulation PI
Regulation PIRegulation PI
Regulation PI
 
Les bascules -www.cours-online.com
Les bascules -www.cours-online.comLes bascules -www.cours-online.com
Les bascules -www.cours-online.com
 
Cours mooremealy [autosaved]
Cours mooremealy [autosaved]Cours mooremealy [autosaved]
Cours mooremealy [autosaved]
 
ELE2611 Classe 4 - Filtres analogiques linéaires II
ELE2611 Classe 4 - Filtres analogiques linéaires IIELE2611 Classe 4 - Filtres analogiques linéaires II
ELE2611 Classe 4 - Filtres analogiques linéaires II
 
Chap05
Chap05Chap05
Chap05
 
Ch42 reponses
Ch42 reponsesCh42 reponses
Ch42 reponses
 
124776153 td-automatique-1 a-jmd-2011
124776153 td-automatique-1 a-jmd-2011124776153 td-automatique-1 a-jmd-2011
124776153 td-automatique-1 a-jmd-2011
 
Cours electronique conversion de donnees
Cours electronique conversion de donneesCours electronique conversion de donnees
Cours electronique conversion de donnees
 
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTI
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTICours3 Réponse fréquentielle des systèmes dynamiques continus LTI
Cours3 Réponse fréquentielle des systèmes dynamiques continus LTI
 
47811458 exercices-systemes-echantillonnes
47811458 exercices-systemes-echantillonnes47811458 exercices-systemes-echantillonnes
47811458 exercices-systemes-echantillonnes
 
Ch4 3 reponses
Ch4 3 reponsesCh4 3 reponses
Ch4 3 reponses
 
Transmittance complexe - Fonction de transfert
Transmittance complexe - Fonction de transfertTransmittance complexe - Fonction de transfert
Transmittance complexe - Fonction de transfert
 
Cours capteur final
Cours capteur finalCours capteur final
Cours capteur final
 

Destaque

Chapitre ii circuits combinatoires
Chapitre ii circuits combinatoiresChapitre ii circuits combinatoires
Chapitre ii circuits combinatoiresSana Aroussi
 
Logiques sequentielle
Logiques sequentielleLogiques sequentielle
Logiques sequentielleAbdelAm20
 
Pic 16f877 ..
Pic 16f877 ..Pic 16f877 ..
Pic 16f877 ..sunprass
 
Programmation des pic_en_c_part2
Programmation des pic_en_c_part2Programmation des pic_en_c_part2
Programmation des pic_en_c_part2oussamada
 
Micocontroleur16 fxxx (1)
Micocontroleur16 fxxx (1)Micocontroleur16 fxxx (1)
Micocontroleur16 fxxx (1)Karim Touati
 
Programmation des pic_en_c_part1
Programmation des pic_en_c_part1Programmation des pic_en_c_part1
Programmation des pic_en_c_part1oussamada
 
Cours technologie electrique pneumatique
Cours technologie electrique   pneumatiqueCours technologie electrique   pneumatique
Cours technologie electrique pneumatiqueSalah Hammami
 
2 coursalgrel
2 coursalgrel2 coursalgrel
2 coursalgrelbenflen
 
Tp transcodage logique combinatoire
Tp transcodage logique combinatoireTp transcodage logique combinatoire
Tp transcodage logique combinatoirebilal001
 
Circuits Base Hydraulique
Circuits Base HydrauliqueCircuits Base Hydraulique
Circuits Base Hydrauliqueyouri59490
 
Café Numérique Bruxelles - Les Makers - Arduino
Café Numérique Bruxelles - Les Makers - ArduinoCafé Numérique Bruxelles - Les Makers - Arduino
Café Numérique Bruxelles - Les Makers - ArduinoQuentin Geluyckens
 
Microcontroleur Pic16 F84
Microcontroleur Pic16 F84Microcontroleur Pic16 F84
Microcontroleur Pic16 F84guest1e7b02
 
TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire bilal001
 
Chp5 - Diagramme d'Etat Transition
Chp5 - Diagramme d'Etat TransitionChp5 - Diagramme d'Etat Transition
Chp5 - Diagramme d'Etat TransitionLilia Sfaxi
 
Chp4 - Diagramme de Séquence
Chp4 - Diagramme de SéquenceChp4 - Diagramme de Séquence
Chp4 - Diagramme de SéquenceLilia Sfaxi
 
Transition ipv4-ipv6
Transition ipv4-ipv6Transition ipv4-ipv6
Transition ipv4-ipv6Arrow Djibio
 
Api cours
Api coursApi cours
Api coursBel Ami
 

Destaque (20)

Chapitre ii circuits combinatoires
Chapitre ii circuits combinatoiresChapitre ii circuits combinatoires
Chapitre ii circuits combinatoires
 
Logiques sequentielle
Logiques sequentielleLogiques sequentielle
Logiques sequentielle
 
mourad 2eme
mourad 2ememourad 2eme
mourad 2eme
 
Pic 16f877 ..
Pic 16f877 ..Pic 16f877 ..
Pic 16f877 ..
 
Programmation des pic_en_c_part2
Programmation des pic_en_c_part2Programmation des pic_en_c_part2
Programmation des pic_en_c_part2
 
Micocontroleur16 fxxx (1)
Micocontroleur16 fxxx (1)Micocontroleur16 fxxx (1)
Micocontroleur16 fxxx (1)
 
Cours bd
Cours bdCours bd
Cours bd
 
Programmation des pic_en_c_part1
Programmation des pic_en_c_part1Programmation des pic_en_c_part1
Programmation des pic_en_c_part1
 
Cours technologie electrique pneumatique
Cours technologie electrique   pneumatiqueCours technologie electrique   pneumatique
Cours technologie electrique pneumatique
 
2 coursalgrel
2 coursalgrel2 coursalgrel
2 coursalgrel
 
Tp transcodage logique combinatoire
Tp transcodage logique combinatoireTp transcodage logique combinatoire
Tp transcodage logique combinatoire
 
Circuits Base Hydraulique
Circuits Base HydrauliqueCircuits Base Hydraulique
Circuits Base Hydraulique
 
Café Numérique Bruxelles - Les Makers - Arduino
Café Numérique Bruxelles - Les Makers - ArduinoCafé Numérique Bruxelles - Les Makers - Arduino
Café Numérique Bruxelles - Les Makers - Arduino
 
Microcontroleur Pic16 F84
Microcontroleur Pic16 F84Microcontroleur Pic16 F84
Microcontroleur Pic16 F84
 
Cours pics16 f877
Cours pics16 f877Cours pics16 f877
Cours pics16 f877
 
TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire TP Compteurs - logique combinatoire
TP Compteurs - logique combinatoire
 
Chp5 - Diagramme d'Etat Transition
Chp5 - Diagramme d'Etat TransitionChp5 - Diagramme d'Etat Transition
Chp5 - Diagramme d'Etat Transition
 
Chp4 - Diagramme de Séquence
Chp4 - Diagramme de SéquenceChp4 - Diagramme de Séquence
Chp4 - Diagramme de Séquence
 
Transition ipv4-ipv6
Transition ipv4-ipv6Transition ipv4-ipv6
Transition ipv4-ipv6
 
Api cours
Api coursApi cours
Api cours
 

Semelhante a Compteur synchrone

VLSI-Chapitre1-Diaporama-2022.pptx
VLSI-Chapitre1-Diaporama-2022.pptxVLSI-Chapitre1-Diaporama-2022.pptx
VLSI-Chapitre1-Diaporama-2022.pptxmouadmourad1
 
Hasard09 artificiel
Hasard09 artificielHasard09 artificiel
Hasard09 artificielnahdiste
 
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateurs
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateursELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateurs
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateursJerome LE NY
 
Modelisation systemes 1ddl
Modelisation systemes 1ddlModelisation systemes 1ddl
Modelisation systemes 1ddlMED MED
 
Partie i vibrations et oscillateurs
Partie i   vibrations et oscillateursPartie i   vibrations et oscillateurs
Partie i vibrations et oscillateursOumaimaBenSaid
 
تمارين وحلول خاصة ببرمجة Ladder et instructions automates
تمارين وحلول خاصة ببرمجة Ladder et instructions automatesتمارين وحلول خاصة ببرمجة Ladder et instructions automates
تمارين وحلول خاصة ببرمجة Ladder et instructions automateselectrolouhla
 
T. Masrour - cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...
T. Masrour -  cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...T. Masrour -  cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...
T. Masrour - cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...tawfik-masrour
 
Cours vibration 2016 prat
Cours vibration 2016 pratCours vibration 2016 prat
Cours vibration 2016 pratOumaimaBenSaid
 
Les Filtres Numeriques
Les Filtres NumeriquesLes Filtres Numeriques
Les Filtres NumeriquesSAHELAicha
 
systemesasservis.pdf
systemesasservis.pdfsystemesasservis.pdf
systemesasservis.pdfMohsin565763
 
Cours1 Représentation des systèmes dynamiques continus LTI
Cours1 Représentation des systèmes dynamiques continus LTI Cours1 Représentation des systèmes dynamiques continus LTI
Cours1 Représentation des systèmes dynamiques continus LTI sarah Benmerzouk
 
3ABSMODEL_www.cours-exercices.org.pdf
3ABSMODEL_www.cours-exercices.org.pdf3ABSMODEL_www.cours-exercices.org.pdf
3ABSMODEL_www.cours-exercices.org.pdfManalBoukrim
 

Semelhante a Compteur synchrone (20)

Ch42 questions
Ch42 questionsCh42 questions
Ch42 questions
 
VLSI-Chapitre1-Diaporama-2022.pptx
VLSI-Chapitre1-Diaporama-2022.pptxVLSI-Chapitre1-Diaporama-2022.pptx
VLSI-Chapitre1-Diaporama-2022.pptx
 
Hasard09 artificiel
Hasard09 artificielHasard09 artificiel
Hasard09 artificiel
 
µP
µPµP
µP
 
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateurs
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateursELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateurs
ELE2611 Classe 8 - Circuits non-linéaires dynamiques, oscillateurs
 
A slides 11
A slides 11A slides 11
A slides 11
 
Ch4 3 questions
Ch4 3 questionsCh4 3 questions
Ch4 3 questions
 
Modelisation systemes 1ddl
Modelisation systemes 1ddlModelisation systemes 1ddl
Modelisation systemes 1ddl
 
Arma
ArmaArma
Arma
 
Partie i vibrations et oscillateurs
Partie i   vibrations et oscillateursPartie i   vibrations et oscillateurs
Partie i vibrations et oscillateurs
 
تمارين وحلول خاصة ببرمجة Ladder et instructions automates
تمارين وحلول خاصة ببرمجة Ladder et instructions automatesتمارين وحلول خاصة ببرمجة Ladder et instructions automates
تمارين وحلول خاصة ببرمجة Ladder et instructions automates
 
T. Masrour - cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...
T. Masrour -  cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...T. Masrour -  cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...
T. Masrour - cours dynamique des systèmes - vibrations - chapitre1-td-1ddl a...
 
Mon intervention lors du Ciro10
Mon intervention lors du Ciro10Mon intervention lors du Ciro10
Mon intervention lors du Ciro10
 
Récursivité
RécursivitéRécursivité
Récursivité
 
Cours vibration 2016 prat
Cours vibration 2016 pratCours vibration 2016 prat
Cours vibration 2016 prat
 
Les Filtres Numeriques
Les Filtres NumeriquesLes Filtres Numeriques
Les Filtres Numeriques
 
Chap07
Chap07Chap07
Chap07
 
systemesasservis.pdf
systemesasservis.pdfsystemesasservis.pdf
systemesasservis.pdf
 
Cours1 Représentation des systèmes dynamiques continus LTI
Cours1 Représentation des systèmes dynamiques continus LTI Cours1 Représentation des systèmes dynamiques continus LTI
Cours1 Représentation des systèmes dynamiques continus LTI
 
3ABSMODEL_www.cours-exercices.org.pdf
3ABSMODEL_www.cours-exercices.org.pdf3ABSMODEL_www.cours-exercices.org.pdf
3ABSMODEL_www.cours-exercices.org.pdf
 

Último

SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSKennel
 
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSKennel
 
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSKennel
 
Cours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETCours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETMedBechir
 
PIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfPIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfRiDaHAziz
 
PIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfPIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfRiDaHAziz
 
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSKennel
 
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 37
 
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSKennel
 
Cours de Management des Systèmes d'information
Cours de Management des Systèmes d'informationCours de Management des Systèmes d'information
Cours de Management des Systèmes d'informationpapediallo3
 
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 37
 
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...Faga1939
 
Bernard Réquichot.pptx Peintre français
Bernard Réquichot.pptx   Peintre françaisBernard Réquichot.pptx   Peintre français
Bernard Réquichot.pptx Peintre françaisTxaruka
 
Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Alain Marois
 
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETCours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETMedBechir
 
Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Gilles Le Page
 
Principe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsPrincipe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsRajiAbdelghani
 
Le Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeLe Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeXL Groupe
 
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .Txaruka
 

Último (20)

SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
 
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
 
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
 
Cours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETCours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSET
 
PIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfPIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdf
 
PIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfPIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdf
 
DO PALÁCIO À ASSEMBLEIA .
DO PALÁCIO À ASSEMBLEIA                 .DO PALÁCIO À ASSEMBLEIA                 .
DO PALÁCIO À ASSEMBLEIA .
 
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
 
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
 
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
 
Cours de Management des Systèmes d'information
Cours de Management des Systèmes d'informationCours de Management des Systèmes d'information
Cours de Management des Systèmes d'information
 
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
 
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
 
Bernard Réquichot.pptx Peintre français
Bernard Réquichot.pptx   Peintre françaisBernard Réquichot.pptx   Peintre français
Bernard Réquichot.pptx Peintre français
 
Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024
 
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETCours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
 
Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024
 
Principe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsPrincipe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 temps
 
Le Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeLe Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directe
 
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
 

Compteur synchrone

  • 1. 95 CHAPITRE 6 COMPTEURS SYNCHRONES - Séquenceur : Circuit ou système qui passe à travers une série d’états successifs grâce à une horloge synchrone ou asynchrone. Ex : Machine à laver. - Au chapitre 6, nous allons voir les séquenceurs fabriqués à l’ aide de Flips Flops tous connectés à une horloge commune. Ex : Compteur (avec comme seule entrée extérieure : CK, l’ horloge) + avec de façon optionnelle : reset, load, halt (mise à zéro, chargement, arrêt). Si d’autres entrées sont présentes, on parlera de machines d’état ("State machine", vus au chapitre 7). État (STATE) : À tout moment, les sorties de tous les flips flops constituent son état. Une table : État présent – État futur (Present-State → Next State ou PS-NS) : sera employée pour le design. - Aussi : registres synchrones. - Avec le chapitre 6, on pourra faire le design de n’importe quel compteur synchrone ! 6.1 Circuit séquentiel, état, horloge Circuit synchrone : élément de mémoire : Flip Flop, même horloge pour tous les Flips Flops Circuit séquentiel : élément de mémoire : latch et Flip Flop Rappel : Flip Flop, l’horloge est "edge-sensitive" et Latch, l’horloge est "level-sensitive". 6.2 État et sortie - Pour déterminer l’état futur : • Circuit combinatoire : il faut connaître : les entrées présentes + diagramme des portes
  • 2. 96 • Circuit séquentiel : il faut connaître : - l’histoire des entrées - les entrées - le diagramme du circuit "L’histoire des entrées" = sorties courantes des Flips Flops, c’ l’ du circuit. est état Si N Flip Flop ⇒ au maximum 2N différents états. L’état du circuit n’ pas toujours égal à la sortie des Flips Flops (si logique combinatoire en est sortie). Exemple : Schéma général d’ "Machine de Moore" une Signaux de Feed-back Exemple : Shift register. La sortie = dernier FF seulement. (First In - First Out) Les Flips Flops ne sont pas directement reliés à la sortie. Ils sont dits cachés ("hidden"). Si on veut une machine à M états (cycles de M états) avec N Flip Flop ⇒ 2N ≥ M (Parfois on peut mettre plus de FF que le minimum requis).
  • 3. 97 Les ÉTATS d’ circuit séquentiel peuvent aussi avoir un nom : ATTENTE, ACCEPTE, un DÉMARRE LE MOTEUR, etc. Un choix judicieux des noms des états et des variables peut faciliter le design comme on verra plus tard. 6.3 Fréquence maximum avec 1 seule horloge - On emploie 1 seule fréquence d’horloge pour tous les FF et tous les FF sont du même type ↑ ou ↓. - L’horloge ne doit pas être retardée par des portes logiques, ceci causerait des arrivées de coups d’ horloge à des instants différents à cause du "Skew" de l’ horloge. - À cause des avantages de synchronisme, plus de 90 % des circuits emploient une seule horloge et sont du type "séquentiel". (Ça élimine les courses et le "catching"). - Sorties stables qui ne changent qu’ coup d’ au horloge. - Quelle est la fréquence Max de l’ horloge ? On a la topologie suivante : Délai ∆ C Délai ∆ f + Set uptime + hold time ⇒ période minimum t MIN = ∆ C + ∆ f + t setup + t hold ⇒ 1 f = T min Ici thold = 0 (négligeable) Ex : 1 ns pour 74F175 L’horloge doit être stable aussi Ex : pour une montre, on emploie un cristal de quartz.
  • 4. 98 Circuit de communication à 9600 bits par seconde (BPS), on emploie un cristal de 11.059 Mhz (dans le microcontrôleur 8051, par exemple). Fan-Out (Distribution Maximale) Puisque l’horloge est distribuée à tous les FF, l’horloge peut se dégrader. Solutions : 1) Circuit spécial d’horloge qui fournit beaucoup de courant. 2) Employer des buffers comme suit : - l’inversion n’affecte pas le système - permet de maintenir un "fast rise time" (horloge n’ pas "skewed") est 3) Emploi des Schimitt trigger : "transform slowly changing input signals into sharply defined signals". Il y a donc une bonne immunité au bruit.
  • 5. 99 6.4 Étapes d’analyse et de design A- Étapes d’analyse (circuit synchrone avec Flips Flops, sans entrée) 1. Séparer les Flips Flops et la logique combinatoire de stimulation. 2. Étudier la logique de stimulation et obtenir une équation booléenne pour chacune des entrées des Flips Flops. 3. Assumer qu’ début, le circuit est dans un état zéro, donc, mettre "0" dans les équations au booléennes. 4. Obtenir les nouvelles valeurs de sortie et les mettre dans les équations pour déterminer l’ état suivant. 5. Reprendre l’ étape 4 jusqu’ ce que tous les états suivants soient déterminés. Indiquer les états à dans des tables de Karnaugh, diagramme en anneaux. B- Étapes de design (circuit synchrone avec Flips Flops, sans entrée) 1. Exprimer chaque nombre de la séquence en binaire. Chaque nombre correspondra à un État. 2. Déterminer une méthode pour assigner les États M aux sorties des Flips Flops. 3. Établir la table État-Présent ⇒ État Suivant. 4. Choisir le type de Flip Flop : T, JK, D (D par défaut). 5. Établir les relations entre les États. 6. Employer les États présents comme entrées dans les tables de vérité. 7. Assigner l’ état de retour aux états inemployés. 8. Trouver les équations à partir des tables de vérité du point 6. 9. Monter les sorties comme combinaison des sorties des Flips Flops. 10. Réaliser la logique combinatoire de stimulation à partir des équations. C- Étapes de design (registres à décalage cyclique – cyclic shift register) 1. Établir la liste successive des états dans la table ÉTAT PRÉSENT → ÉTAT SUIVANT (Present State → Nest State). 2. Ajouter le(s) cas d’ initialisation pour le démarrage automatique (e.g. 000 000). 3. Synthétiser l’ Entrée série (Serialln) comme la somme des mintermes pour lesquels Serialln = 1 dans la liste successive des états.
  • 6. 100 Table 6.1 State Changes for Three Flip-Flops (Extrait de "Digital Design from Zero to One" p.312) D Flip-Flop Toggle Flip-Flop JK Flip-Flop QN + 1 QN 1 D ENABLE J K J K 0 1 0 → 0 0 0 0 X 0 0 1 0 0 → 1 1 1 1 X 1 1 0 1 1 → 0 0 1 X 1 1 1 0 0 1 → 1 1 0 X 0 1 0 Exemple 1 : (sera fait en classe) Tiré de "Digital Design", J. D. Daniels, 1ere édition, p.313, Fig. 6.10
  • 7. 101 Exemple 2 : (sera fait en classe) Tiré de "Digital Design", J. D. Daniels, 1ere édition, p.315, Fig. 6.12 6.5 Design de compteurs synchrones - Maintenant : étant donné la séquence → quel est le design ? - À considérer : • minimisation/optimisation des états • choix du Flip Flop (habituellement "D") • assignation des noms des variables • éviter les états non désirés "lock out" Auto-correcteur Considérations pratiques 1. S’ y a M états ⇒ au moins N Flip Flop, 2 N ≥ M il 2. Parfois pratique d’ avoir + d’état que le minimum. 3. Cas extrême : 1 FF/état 4. Prévoir un état retour ou "ground state" : point de retour pour tous les états inemployés. Souvent 0000. Si États inemployés = "X" dans le design des tables, pas sûr qu’ pourra revenir en cas de on défaillance (attention !). Exemple : Faire le design d’ compteur que répète la séquence 0, 3, 6, 9, 12, 0... un Employer le code binaire pour le codage des FF D, employer les sorties des FF comme sortie. Ces spécifications ne donnent pas beaucoup de choix au designer.
  • 8. 102 Étape 1 et 2 : La sortie des Flips Flops est déterminée par les spécifications. # Q3 Q2 Q1 Q0 0 0 0 0 0 3 0 0 1 1 6 0 1 1 0 9 1 0 0 1 12 1 1 0 0 Étape 3 : État présent État suivant Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 0 0 1 1 3 0 0 1 1 0 1 1 0 0 1 1 0 6 0 1 1 0 1 0 0 1 1 0 0 1 9 1 0 0 1 1 1 0 0 1 1 0 0 12 1 1 0 0 0 0 0 0 0 0 0 0 Étape 4 : On emploie FF – D (Avantage des FF-D, le design est plus aisé). Étape 5 : Relation entre les états : facile avec FF-D. Si Q 3 Q 2 Q1Q 0 = 0000 et D 3 D 2 D1D 0 = 0011 L’état futur sera Q 3 Q 2 Q1Q 0 = 0011 Étape 6 : Obtenu de la table de l’étape 3 :
  • 9. 103 Étape 7 : Il est sage de laisser des zéros dans les tables de Karnaugh (T-K) précédentes pour avoir un état de retour connu "ground state". Étape 8 : On obtient les équations. D0 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0 D1 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0 D2 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0 D3 = Q3Q2 Q1Q0 + Q3Q2 Q1Q0 Étape 9 : Les sorties sont directement les sorties des FF. On a choisi une représentation genre PAL ici. 6.6 Compteurs à codage positionnel - Ce sont des compteurs dont la sortie s’ incrémente ou décrémente à chaque coup d’horloge. - État des Flips Flops directement à la sortie du circuit.
  • 10. 104 6.7 Compteur à décade décrémental avec FF-D Toggle Ce sont des compteurs de type 9---0---9---0---9 : 10 états ⇒ compteur à décade. 6.8 Compte supérieur en employant le "Ripple Carry output" (RCO) - On peut réaliser des compteurs à 8, 12, 16 bits avec la méthode précédente, mais le coût est élevé à cause de la logique d’excitation combinatoire ⇒ approche pas intéressante. - Approche plus intéressante : cascade de circuits de compteur MSI (Medium Scale Integration). Exemple : CB4CLED de Xilinx. CB4CLEB : compteur 4 bits up/down avec external load, 2 Enable. Permet de réaliser en cascadant N unités, des compteurs à 4 N états. - Le Rco peut être employé pour créer un bit supplémentaire en l’ envoyant à un "toggle enable" d’ FF externe. un Exemple : - Que faire pour cascader des compteurs 4 bits ? On a pas accès au contrôle de l’entrée du 1er FF Si on a seulement accès à l’horloge, alors on propage RCO sur CK2
  • 11. 105 - On voit qu’ faut employer Rco pour des compteurs ↑ positive edge triggened. il - Il y aura 1 délai ∆ = tpd (temps propagation dans porte ET synthèse Rco . - Approche moins pire qu’ "full ripple" compteur avec 8 x tpd (ici 1 seul tpd). un 6.9 Registre à décalage pour le design de compteurs synchrones - On va voir quelles sont les séquences disponibles avec un "Shift register" (MSI). Déf : ensemble de bascules "D" edge-triggened connectées dos à dos, horloge commune. DIN = vient de l’extérieur ou peut-être généré de l’intérieur (cas des compteurs). Pour DM, M ≠ 0 on a DM = Qm-1, donc il y a des limitations aux séquences disponibles. 6.10 Serial In – Parrallel Out shift register (SR) ou SI PO Registre à décalage cyclique (RDC) On a aussi des "cyclic SR" *SIPO pour lequel la Serial input est une combinaison des sorties des FF. * pas d’entrée externe admise. Registres • série – série SI SO • série – parallèle SI PO • parallèle – série PI SO • parallèle – parallèle PI PO
  • 12. 106 Exemple circuit TTL #74164 (SI PO) avec mise à zéro asynchrone Shift register 8 bits (asynchrone) le produit DSA DSB est entré Registre à 8 bits : Table : CLR CK DSA DSB Q0 Q1 … Q7 Mode L X X X L L … L Clear H ↑ L L L Q0 … Q6 H ↑ L H L Q0 … Q6 Shift H ↑ H L L Q0 … Q6 H ↑ H H H Q0 … Q6 8-bi serial-in parallel-out shift register Note : MR = Master Reset
  • 13. 107 FUNCTION TABLE INPUTS OUTPUTS OPERATING MODE MR CP Dsa Dsb Q0 Q1… . Q7 L X X X L L L Reset (clear) H ↑ l l L q0 q6 H ↑ l h L q0 q6 Shift H ↑ h l L q0 q6 H ↑ h h H q0 q6 H = High voltage level h = High voltage level one set-up time prior to the Low-to-High clock transition L = Low voltage level l = Low voltage level one set-up time prior to the Low-to-High clock transition Qn = Lower case letters indicate the state of the referenced input (or output) on setup time prior to the Low-to- High clock transition X = Don’ care t ↑ = Low-to-High clock transition 6.11 Analyse des Registres SR Cycliques [CSR] cyclic Shift Register On décode comme ceci : Qi → Qi + 1, i = 0, … N –1 N = Nb de bits Qo = f(Qi, i = 0, … N) ≤ fonction booléenne ( ) Après un certain nb de cycles, ≤2 N la séquence recommence - Pour déterminer la séquence : - simulation - la faire à la maison Ex : CSR
  • 14. 108 Très simple Un simple inverseur constitue la logique de Sin Quelle est la séquence ? On commence avec ‘ 0000’(contenu des FF). Solution : le SR va se remplir de 1 puis se vider avec au total 8 états Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 1- 0 0 0 0 0 1 0 1 2- 1 0 0 0 0 0 1 0 3- 1 1 0 0 1 0 0 1 Switch – tailed 4- 1 1 1 0 0 1 0 0 Ring compteur 5- 1 1 1 1 1 0 1 0 6- 0 1 1 1 1 1 0 1 7- 0 0 1 1 0 1 1 0 8- 0 0 0 1 1 0 1 1 8 états 0 1 0 1 Si on commence à zéro Si on commence ailleurs Séquence de MOEBIUS Séquence ANTI-MOEBIUS État suivant diffère d’ seul bit (code gray) un Tous les FF changent après le coup d’horloge sauf 1 Design avec les CSR - On peut implanter toute séquence qui "se déroule avec un patron décalé" (that un folds with a horizontal shift pattern). - En faisant le design, voir si on peut avoir un patron qui se déroule à gauche ou à droite. Ex : - 5 jointures/articulations d’ robot qui doivent être pliées en séquence comme suit : un A B C D E Serial in 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 1 Solution : - On emploie 5 FF, chaque sortie FF commande une articulation, un joint. - On aurait pu y aller avec 3FF (23 = 8 > 5 états requis) mais avec 2 FF de plus, aucun décodage de sortie !
  • 15. 109 - Quelle est la logique combinatoire que va faire circuler un 1 ? - Une approche : Considérons les 5 sorties ⇒ 25 = 32 cas De ceux-ci les cas : A B C D E Q0 Q1 Q2 Q3 Q4 SIN On recommence la séquence 0 0 0 0 1 1 ABCDE Cas d’ reset un 0 0 0 0 0 1 0000X On peut synthétiser Sin directement avec : Sin = Q0 + Q1 + Q2 + Q3 , Q4 n’ pas d’ a importance ici (Q4 = 1 ou Q4 = 0 et SIN = 1) = Q0 ⋅Q1 ⋅Q2 ⋅Q3 On a alors le design suivant : Il faut A = B = C = D = 0 pour avoir DIN mis à 1. - Avec ce design peut importe l’ état des FF, après 5 coups d’horloge au maximum, un 1 va circuler dans le système (tous les 1 sont réinjectés à 0 à cause de la porte NOR). - Q4 peut être vu comme un témoin qui avertit lors qu’ a accompli un cycle, peut servir à on arrêter le système. - Ce système est appelé "one-hot sequencer". Assigne 1 FF par état ⇒ pas efficace comme usage des FF Très employés. - Si on avait voulu faire circuler un "0" au lieu d’ 1 un a) mettre des inverseurs c’ un "one-cold sequencer" est b) employer une porte NAND (NAND = 0 lorsque toutes les entrées sont 1). Q0 Q1 Q2 Q3 Q4 SIN 1 1 1 1 1 ⇒ 0 - reset 1 1 1 1 1 ⇒ 0 - début nouveau cycle
  • 16. 110 - On peut faire circuler plus qu’ 1 à la fois, mais n’ un importe quel mot d’ état à la fois. un Généralisons la méthode de design : 1- Établir la liste de la succession des états présent → états futurs incluant le "Serial in". 2- Ajouter le (les) cas d’ initialisation pour le "démarrage automatique" ex : 0000 0000. 3- Synthétiser Serial in Serial in = la somme des mintermes pour lesquels Serial in = 1 dans la liste successive des états. 6.12 Générateur pseudo-aléatoire C’ un CSR avec un grand nombre de bascules. Le compteur passe dans beaucoup d’ est états N (2 au maximum). Utilité en cryptage de séquence série Utilité en génération de bruits répétitif Passe dans 15 des 16 états sauf 1111 qui est un cul-de-sac. On a : PS Q1 Q2 Q3 Q4 Sin Sin Q1 Q2 Q3 Q4 Q3 Q4 0) 0 0 0 0 1 1 1 1 1 0 0 1 8) 1 0 0 0 1 0 1 0 1 1 1 1 12) 1 1 0 0 1 1 0 0 14) 1 1 1 0 0 1 1 1 7) 0 1 1 1 1 11) 1 0 1 1 1 13) 1 1 0 1 0 1111 est un cul-de-sac ici ! 6) 0 1 1 0 0 3) 0 0 1 1 1 9) 1 0 0 1 0 4) 0 1 0 0 1 10) 1 0 1 0 0 5) 0 1 0 1 0 2) 0 0 1 0 0 1) 0 0 0 1 0 0) 0 0 0 0 1
  • 17. 111 La sortie du générateur pseudo-aléatoire peut-être n’importe quel sortie des FF puisque le patron n’ pas important ici. est 6.13 Unités cachées Elles peuvent parfois résoudre le problème lors de la répétition des mêmes nombres. Exemple : séquence 5 → 5 → 4 → 2 puis 5 → 5 → 4 → 2 … 6.14 Compteur + ROM pour créer une séquence arbitraire 2M ≥ N N = longueur de la séquence M = nb de bits dans le compteur Si N n’ pas une puissance de 2, il faut prévoir un mécanisme de rechargement du compteur ou est effacement avec CLR synchrone qui peut être commandé avec une des lignes du ROM On peut aussi employer un contrôleur microprogrammé qui permet en plus de réaliser : sauts, sous-routines, boucles. Définition : Circuit séquentiel qui assure la fonction de comptage (binaire ou autre) avec 2N états sans aucun décodeur de sortie. Sorties = état des FF à chaque coup d’ horloge. 6.15 Types de compteur 1- Ripple (série) ou à débordement (asynchrone) 2- Binaire : - up/down, sortie en binaire - ck commune - la longueur de la séquence est le modulo 3- En anneau : - fait circuler un 1 ou un 0 - possède autant d’ états que de FF - plusieurs cycles sont réalisés, un seul est valide ⇒ initialisation requise Exemple : one-hot, two-hot one-cold, two-cold sequencer
  • 18. 112 4- Johnson - similaire au compteur en anneau - offre 2 fois plus d’états que de FF grâce à un décodage simple. Ex : Exemple de circuit 74163 5. Anneau à séquence arbitraire QA QB QC Décodeur d’ état 0 0 0 Q A QC 1 0 0 Q A QB 1 1 0 Q B QC 1 1 1 Q A QC 0 1 1 Q A QB 0 0 1 QBQ C
  • 19. 113 Si K bits ⇒ séquence de 2K états Problème les états inemployés ⇒ lock-out (cul-de-sac) : PS NS QA QB QC DA DB DC QA QB QC 0 1 0 → 1 0 1 1 0 1 1 0 1 → 0 1 0 0 1 0 - Caractéristique : Le dernier bit inversé est injecté en DA - Appelés : "Switch-tailed ring" compteurs. 6- Compteurs à séquence quelconqu