SlideShare uma empresa Scribd logo
1 de 50
Baixar para ler offline
Wafer Scale Manufacturing
        Processes In Optical
Technologies For Illumination
                          Reinhard Völkel
               SUSS MicroOptics, Neuchâtel
                www.suss.ch, info@suss.ch
Light Makes The Difference!




       Egyptian God Ra with Madame Taperet , 1000 BC (Louvre, Paris)



       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
2
Light Makes The Difference!




          Thomsas A. Edison (Patent 1880)



       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
3
Lighting Roadmap




4
Lighting, Illumination: Optics Is Light Work!

     Light Sources                                                                                                              Devices, Systems




                                      „Collect all photons and illuminate!“




                                      Performance (brightness, contrast, color,
                                      uniformity, efficiency)
                                      Size (larger area, smaller device)
                                      Costs (manufacturing, energy saving, lifetime)
        R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
5                                                                                                                               Source: 3M Vikuiti, ASML, Coherent
Conservation of Etendue – Lagrange Invariant




       Etendue is a property of an optical system, which characterizes how
        "spread out" the light is in area and angle.
       Lagrange invariant is a measure of the light propagating through an
        optical system. For a given optical system, the Lagrange invariant is a
        constant throughout all space, that is, it is invariant upon refraction and
        transfer.

       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
6
Concepts for Illumination
Optical Design & Illumination

       Leonard Euler (1707 – 1783)




       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
8
Köhler Illumination, Köhler Integrator (Fly‘s Eye)


       In 1893 August Köhler (1866–1948) from
       Carl Zeiss in Jena, introduced a new and
       revolutionary method for uniform illumination
       of specimen in an optical microscope in his
       doctoral thesis.

       The Köhler method allows to adjust the size
       and the numerical aperture of the object
       illumination in a microscope independent
       from each other.




     August Köhler, Zeitschrift für wissenschaftliche
     Mikroskopie, Band X, Seite 433-440 (1893)




            R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
9
Köhler Illumination, Köhler Integrator (Fly‘s Eye)


       In 1893 August Köhler (1866–1948) from Carl
       Zeiss in Jena, introduced a new and revolutionary
       method for uniform illumination of specimen in an
       optical microscope in his doctoral thesis.

       The Köhler method allows to adjust the size
       and the numerical aperture of the object
       illumination in a microscope independent
       from each other.




     August Köhler, Zeitschrift für wissenschaftliche
     Mikroskopie, Band X, Seite 433-440 (1893)




            R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
10
1946: Microlens Array Homogenizer




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
11
2005: Nikon Corporation




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
12
Light Sources Today: More Power – Less Energy!

      Uniformity
      Efficiency
      Costs




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
13
Illumination Concepts For Displays




Source: 3M Vikuiti




            R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
14
Richard Ulbricht Invented The Ulbricht Sphere

      Dr. Richard Ulbricht (1849 – 1923, Dresden)
      Invented the Ulbricht Sphere when he was trying to find the optimium
       optics for electrical illumination of Dresden„s train stations (≈ 1891)




      R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
15
Tasks for Micro-Optics




                                                                                               Intensity


             LED                  Plastic, Glass


                         LED                                                                   Homogenization
          Reflector
        Substrate

                            Beam Collimation                      Beam Shaping




   R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
RXI Collimator

                                                                                                    RXI

                                                                     Refraction Reflection Total Internal Refraction




                                                                               • High efficiency LED out-coupling
                                                                               • Etendue limited performance (88% max)
                                                                               • Short (D / L ~ 3)
                                                                               • Bezier curves description (8 surfaces)
                                                                               • Direct optimization




   R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
Wafer-Scale Manufacturing Is Quite Sucessful!

      Wafer-based „SEMI“ technology changed our world dramatically in the
       last 50 years!
      Manufacturing concepts from SEMI are adapted for other products.
         Computers, digital cameras, displays, LED, OLED, high-power switches,
          wafer-level cameras, ...


      Wafer-based „SEMI“ technology for Optics is very successful.
      Question: Is it now possible to integrate Optics on the LED using
       Wafer-Level Packaging?




18
Wafer-Level Camera (WLC)
Microlens Imprint Lithography (SMILE)
Example: Mobile Phone Cameras




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
20
Example: Mobile Phone Cameras




                                                                            Wafer-Level Solutions
     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
21
Microlens Replication on Wafer Level

                         1. Lens Imprinting                                             2. Lens Transfer


Stamp Holder
PDMS Stamp
on glass baker
                                                                                                                          Loaded PDMS Stamp
UV epoxy
Wafer
Chuck with
glass inlay




                            Fabricated lens wafer with residual layer                     Fabricated lens wafer with transferred lenses




           R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
22
Wafer-Level Packaging of Lens Wafer
     Process equipment:
        Substrate holder with glass inlay to hold buffer and lens wafers
         by vacuum                                                                                                Substrate holder
        Edge handling chuck or buffer plate avoids lens damages on
         lens surfaces
        Assisted Alignment for highly precise alignment of Opto wafers
         prior to UV bonding
        High intensity UV exposure for short process times


 Substrate                                                                          VAC 1
   Holder                                                                           VAC 2



Edge Handling
       Chuck
                       Loaded buffer wafer with double sided lens wafer on
                       edge handling chuck
                                                                                                            Edge handling chuck for lens stacking
                                                                                                            with loaded buffer wafer




                                                                                                       SUSS MA/BA8 Gen3

                      Opto wafer stack with UV bonded lens wafer

             R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
23
BMBF-VDI COMIKA: Wafer Level Camera




UV Bond: Delo Katiobond AD VE18499

                                                                 100µm

                                                     Schott B33, 8”




Basic concept of WLC sample
                              UV Bond: Delo PHOTOBOND 4302
                                                                                     MA/BA8 Gen3 Micro Lens replication on 200mm wafer
                                                                                     Material: Delo Katiobond 18499




          R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
24
Micro-Optics on Wafer-Level



          Unwrapped phase / lambda




      Refractive Microlens Arrays (ROE)                          Binary Optics                              Diffractive Optical Elements (DOE)




                                                                     Wafer-Level Camera for disposable endoscopes
                                                                     (Photo: AWAIBA)


      Random Diffusers, Homogenizer                                Wafer-Level Camera, Fiber Arrays, Sensors


          R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
25
 252.15     504.31         756.46        1008.62       1260.77
Micro-Optics on Wafer-Level



          Unwrapped phase / lambda




      Refractive Microlens Arrays (ROE)                          Binary Optics                              Diffractive Optical Elements (DOE)




      Random Diffusers, Homogenizer                                Wafer-Level Camera, Fiber Arrays, Sensors


          R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
26
 252.15     504.31         756.46        1008.62       1260.77
Wafer-Based Manufacturing
Technology For MicroOptics
SUSS MicroOptics – We Set The Standards

      World leading supplier of high-quality Micro-Optics
      8‟‟ Wafer Technology, Wafer-Level Packaging, SUSS Imprint Lithography
      More than 200 active customers, e.g. to SEMI equipment manufacturers, Laser
       & Optics industry, Sensors & Metrology and Medical
      Part of the SUSS MicroTec Group (www.suss.com)
                                                                                                     Neuchâtel, Swiss Watch Valley




       SMO is “Preferred Supplier” for Carl Zeiss SMT AG:
       DUV Laser Beam Shaping Solutions (ASML Steppers)
      R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
28
SUSS MicroOptics – 8’’ Wafer Fab

       Cleanroom facility (Class 1 – 1000) for the wafer-based
       manufacturing of high-quality Micro-Optics
       Fully established 8‘‘ technology based on SEMI processes


           200 mm wafer size (8‟‟)
           Fused silica, Borofloat, Silicon and CaF2
           Refractive Microlenses: Spheres, aspheres
           Diffractive Optical Elements (16-level)
           Random diffusers, hybride Micro-Optics

           Double sided arrays, stops, coatings
           Wafer-Level Packaging, Bonding
           Master Lens Arrays for Replication and
            Imprint Lithography




29      R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
Micro-Optics Solutions
                                                                                                                                                                                                SEMI

   Semiconductor Technology                                                                                                                                                                 Technology
                                                                                                                                                                                                18%
                                                                                                                                                                                                              Divers
   Industrial Optics & Vision                                                                                                                                   Research
                                                                                                                                                                   9%
                                                                                                                                                                                                               7%



   Healthcare & Life Science                                                                                                                           Metrology
                                                                                                                                                           7%

   Metrology                                                                                                                                              Laser &
                                                                                                                                                                                                           Health Care
                                                                                                                                                                                                             & Life
                                                                                                                                                          Material                                          Science

   Laser & Material Processing                                                                                                                          Processing
                                                                                                                                                             9%
                                                                                                                                                                                                              28%


                                                                                                                                                                  Information
   Information Technology                                                                                                                                            Techn.
                                                                                                                                                                          8%
                                                                                                                                                     Unwrapped phase / lambda                Industrial




                                                                                                                       1 2 60 .7 7
                                                                    Mean -0.10
                                                                    RMS 0.34                                                                                                                  Optics,
   Research                                                        P-V 2.94                                                                                                                   Vision
                                                                                                                                                                                                14%




                                                                                                                       1 0 08 .6 2
                                                                                         0.72




                                                                                                                       7 5 6.4 6
                                                                                         0.13




                                                                                                 y / no rm. rad iu s
                                                                     Ph ase / lamb d a




                                                                                         -0.46




                                                                                                                       5 0 4.3 1
                                                                                         -1.04



                                                                                         -1.63
                                                                                                                       2 5 2.1 5




                                                                                         -2.22
                                                                                                                       0 .0 0




                                                                                                                                     0.00   252.15     504.31             756.46   1008.62       1260.77
                                                                                                                                                           x / norm. radius
   R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
                                                                    10.02.2005               Zeiss Diffusor 6101 HH, 10-02-05 RV
                                                                    14:49:32                                                                              SUSS MicroOptics
Wafer-Based Manufacturing of Microlens Arrays (ROE)

      SUSS    MicroOptics uses standard manufacturing technologies from
        Semiconductor Industry, like resist coating, lithography, resist melting,
        reactive ion etching, deposition, sputtering and lift-off




      Excellence             in quality, array uniformity, and lateral dimension accuracy


     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
31
High-Quality Diffractive Optical Elements

         8„„ wafer scale
         Binary, 8-level, 16-level
         SiO2, B33, Si, CaF2
         0.5 μm min feature size
         < 50nm overlay accuracy
         190nm to 5µm wavelength




         R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
32
Laser Beam Shaping and Homogenizing
Laser Beam Shaping

                                Excimer                                                                                                  Flat-Top




  Flat-Top (2D                  Flat-Top (1D)                    Spot-Generator                      Line-Generator          Ablation (borosilicate)




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
Diffuser for Köhler Integrator

                 No diffuser                                                              Diffuser (rotating)




            Uniformity: > 10 %                                                               Uniformity: << 5 %

     Experiment: Laser Diode, 670 nm, condenser lens ƒFL = 40 mm


     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
35
SEM – Images of Diffusers




     Ground Glass          10°                                  E Diffuser (SMO)                               HT Diffuser     1° (SMO)




       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
36
Shaping of Random Diffusers




     Ground Glass Diffuser                                             1  300 m                 1  160 m                 1  500 m
                                                                        2  100 m                 2  70 m                  2  160 m

                                                                              Shaped Random Diffuser (2D)




       R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
37
Improved Diffuser Plates (Fused Silica)




                                                                                                                Encircled Energy
      Gauss, Super-Gauss, IFlat-Top, …                                                                                            1
                                       Rotational
      No zero order, sharp cut-off, nosymmetric
                                        loss in large angles
                                                                     Gaussian like
                                                                     intensity HWHM
                                                                               shape

                                                                                                                                     >1.34 2.52°
                                                                                                                                   Encircled Energy
                                                            1.23°                                                                      °




     -5       -4        -3        -2         -1        0         1         2          3         4         5




      R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
38
Static 1D Random Diffuser




     R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
39
MO-Optics: Customized Illumination
            for SUSS Mask Aligner
MO-Optics – YIELD IMPROVEMENT




                                       MO-Optics
                                            Better uniformity (± 2%)
                                            More light (up to 25%)
                                            Flexible illumination settings
                                            Higher resolution for proximity, steeper sidewalls
                                            Optimized illumination for specific mask pattern


  R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
Example: 480 µm Proximity GAP

   Aligner:                                   MA150 @SMO, Angle Defining Element: Small Ring
   Proximity Gap:                             480µm
   Photoresist:                               AZ 1.3µm dick (Silizium-Wafer)




   20µm Lines & Spaces (MO-Optics, Ring)                                       20µm Vias (MO-Optics, Ring)




   R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
MO-Optics: Gray-Level with Customized Illumination
   (Proximity Lithography at Fraunhofer IOF, Jena)



#2588 Malteser 0°IFP LV1.0            #2589 Ring IFP LV0.5                      #2590 Malteser 45°IPF LV1.0                #2591 ohne Blende




          R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
Substrate Conformal Imprint Lithography
                                                     (SCIL)

        Marc A. Verschuuren, Robert van de Laar, Hans van Sprang
                                                 Philips Research
45
46
47
R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
48
Future: Light Sources and Collimation Optics
                            on Wafer-Level?
SUSS.
  Our Solutions
  Set Standards




SUSS MicroOptics                   www.suss.ch
SUSS MicroTec                      www.suss.com


 R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009

Mais conteúdo relacionado

Mais procurados

Public Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference SingaporePublic Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference SingaporeJVervoort
 
OLED( ORGANIC LIGHT EMITTING DIODE)
OLED( ORGANIC LIGHT EMITTING DIODE)OLED( ORGANIC LIGHT EMITTING DIODE)
OLED( ORGANIC LIGHT EMITTING DIODE)Anusha Chilukuri
 
LIGHT EMITTING POLYMERS
LIGHT EMITTING POLYMERSLIGHT EMITTING POLYMERS
LIGHT EMITTING POLYMERSRahul Bibave
 
Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptsumang89
 
Fundamentals of Laser( Laser physics).pptx
Fundamentals of Laser( Laser physics).pptxFundamentals of Laser( Laser physics).pptx
Fundamentals of Laser( Laser physics).pptxMohammedSharafeldeen
 
Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14angsikod
 
Masking and lithography techniques
Masking and lithography techniquesMasking and lithography techniques
Masking and lithography techniquesNivethaBalu5
 
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdf
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdfASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdf
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdfJoeSlow
 
Piezoelectric materials-final
Piezoelectric materials-finalPiezoelectric materials-final
Piezoelectric materials-finalfoysalmd
 
SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics,...
SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics,...SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics,...
SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics,...Reinhard Voelkel
 
X ray photoelectron spectroscopy
X ray photoelectron spectroscopyX ray photoelectron spectroscopy
X ray photoelectron spectroscopyZubair Aslam
 
Laser and it's application.
 Laser and it's application. Laser and it's application.
Laser and it's application.Ahsanullah Salim
 

Mais procurados (20)

Public Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference SingaporePublic Presentation, ASML DB Conference Singapore
Public Presentation, ASML DB Conference Singapore
 
OLED( ORGANIC LIGHT EMITTING DIODE)
OLED( ORGANIC LIGHT EMITTING DIODE)OLED( ORGANIC LIGHT EMITTING DIODE)
OLED( ORGANIC LIGHT EMITTING DIODE)
 
Laser diode
Laser diodeLaser diode
Laser diode
 
LIGHT EMITTING POLYMERS
LIGHT EMITTING POLYMERSLIGHT EMITTING POLYMERS
LIGHT EMITTING POLYMERS
 
Oled
OledOled
Oled
 
Photonic materials
Photonic materialsPhotonic materials
Photonic materials
 
Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
 
Fundamentals of Laser( Laser physics).pptx
Fundamentals of Laser( Laser physics).pptxFundamentals of Laser( Laser physics).pptx
Fundamentals of Laser( Laser physics).pptx
 
ND YAG laser
ND YAG laserND YAG laser
ND YAG laser
 
White led
White ledWhite led
White led
 
Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14
 
Masking and lithography techniques
Masking and lithography techniquesMasking and lithography techniques
Masking and lithography techniques
 
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdf
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdfASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdf
ASML Investor Day 2021-Technology Strategy - Martin van den Brink.pdf
 
Piezoelectric materials-final
Piezoelectric materials-finalPiezoelectric materials-final
Piezoelectric materials-final
 
Oled
OledOled
Oled
 
SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics,...
SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics,...SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics,...
SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics,...
 
Asml Euv Use Forecast
Asml Euv Use ForecastAsml Euv Use Forecast
Asml Euv Use Forecast
 
Semicondutor laser
Semicondutor laser Semicondutor laser
Semicondutor laser
 
X ray photoelectron spectroscopy
X ray photoelectron spectroscopyX ray photoelectron spectroscopy
X ray photoelectron spectroscopy
 
Laser and it's application.
 Laser and it's application. Laser and it's application.
Laser and it's application.
 

Semelhante a Suss Micro Optics, Wafer Scale Manufacturing, R. Voelkel, June 2009

Lasers in ophthalmology
Lasers in ophthalmologyLasers in ophthalmology
Lasers in ophthalmologyAjay Gulati
 
Optical Lithography, Key Enabling Technology for our Modern World
Optical Lithography, Key Enabling Technology for our Modern WorldOptical Lithography, Key Enabling Technology for our Modern World
Optical Lithography, Key Enabling Technology for our Modern WorldReinhard Voelkel
 
Introduction to em_booklet_july_10
Introduction to em_booklet_july_10Introduction to em_booklet_july_10
Introduction to em_booklet_july_10Henry Cañizares
 
Microscopy fin
Microscopy finMicroscopy fin
Microscopy finMUBOSScz
 
2. Microscopy (Biochemistry)
2. Microscopy (Biochemistry)2. Microscopy (Biochemistry)
2. Microscopy (Biochemistry)Jay Khaniya
 
Pollen photos using a Scanning Electron Microscope
Pollen photos using a Scanning Electron MicroscopePollen photos using a Scanning Electron Microscope
Pollen photos using a Scanning Electron MicroscopeChris Cardew
 
Ocular Biometry- IOL calculation methods
Ocular Biometry- IOL calculation methodsOcular Biometry- IOL calculation methods
Ocular Biometry- IOL calculation methodsDrMadhumita Prasad
 
Confocal microscopy Likhith K
Confocal microscopy Likhith KConfocal microscopy Likhith K
Confocal microscopy Likhith KLIKHITHK1
 
D&euv lithography final
D&euv lithography finalD&euv lithography final
D&euv lithography finalZaahir Salam
 
MICROSCOPY SEMINAR PRESENTATION BY SITESH)
MICROSCOPY SEMINAR PRESENTATION BY SITESH)MICROSCOPY SEMINAR PRESENTATION BY SITESH)
MICROSCOPY SEMINAR PRESENTATION BY SITESH)dsitesh2003
 
01-CellBio-Microscopy-HVD.pdf
01-CellBio-Microscopy-HVD.pdf01-CellBio-Microscopy-HVD.pdf
01-CellBio-Microscopy-HVD.pdfGounderKirthika2
 

Semelhante a Suss Micro Optics, Wafer Scale Manufacturing, R. Voelkel, June 2009 (20)

Lasers in ophthalmology
Lasers in ophthalmologyLasers in ophthalmology
Lasers in ophthalmology
 
Optical Lithography, Key Enabling Technology for our Modern World
Optical Lithography, Key Enabling Technology for our Modern WorldOptical Lithography, Key Enabling Technology for our Modern World
Optical Lithography, Key Enabling Technology for our Modern World
 
Microscopy
Microscopy Microscopy
Microscopy
 
Introduction to em_booklet_july_10
Introduction to em_booklet_july_10Introduction to em_booklet_july_10
Introduction to em_booklet_july_10
 
Microscopy fin
Microscopy finMicroscopy fin
Microscopy fin
 
2. Microscopy (Biochemistry)
2. Microscopy (Biochemistry)2. Microscopy (Biochemistry)
2. Microscopy (Biochemistry)
 
Pollen photos using a Scanning Electron Microscope
Pollen photos using a Scanning Electron MicroscopePollen photos using a Scanning Electron Microscope
Pollen photos using a Scanning Electron Microscope
 
VCSELs.pdf
VCSELs.pdfVCSELs.pdf
VCSELs.pdf
 
Microscopio doblable
Microscopio doblableMicroscopio doblable
Microscopio doblable
 
Nantenna
NantennaNantenna
Nantenna
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
Optical microscope
Optical microscopeOptical microscope
Optical microscope
 
Basic principles
Basic principlesBasic principles
Basic principles
 
Ocular Biometry- IOL calculation methods
Ocular Biometry- IOL calculation methodsOcular Biometry- IOL calculation methods
Ocular Biometry- IOL calculation methods
 
Confocal microscopy Likhith K
Confocal microscopy Likhith KConfocal microscopy Likhith K
Confocal microscopy Likhith K
 
D&euv lithography final
D&euv lithography finalD&euv lithography final
D&euv lithography final
 
MICROSCOPY SEMINAR PRESENTATION BY SITESH)
MICROSCOPY SEMINAR PRESENTATION BY SITESH)MICROSCOPY SEMINAR PRESENTATION BY SITESH)
MICROSCOPY SEMINAR PRESENTATION BY SITESH)
 
01-CellBio-Microscopy-HVD.pdf
01-CellBio-Microscopy-HVD.pdf01-CellBio-Microscopy-HVD.pdf
01-CellBio-Microscopy-HVD.pdf
 
Detectors
DetectorsDetectors
Detectors
 
Anusha
AnushaAnusha
Anusha
 

Suss Micro Optics, Wafer Scale Manufacturing, R. Voelkel, June 2009

  • 1. Wafer Scale Manufacturing Processes In Optical Technologies For Illumination Reinhard Völkel SUSS MicroOptics, Neuchâtel www.suss.ch, info@suss.ch
  • 2. Light Makes The Difference! Egyptian God Ra with Madame Taperet , 1000 BC (Louvre, Paris) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 2
  • 3. Light Makes The Difference! Thomsas A. Edison (Patent 1880) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 3
  • 5. Lighting, Illumination: Optics Is Light Work! Light Sources Devices, Systems „Collect all photons and illuminate!“ Performance (brightness, contrast, color, uniformity, efficiency) Size (larger area, smaller device) Costs (manufacturing, energy saving, lifetime) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 5 Source: 3M Vikuiti, ASML, Coherent
  • 6. Conservation of Etendue – Lagrange Invariant  Etendue is a property of an optical system, which characterizes how "spread out" the light is in area and angle.  Lagrange invariant is a measure of the light propagating through an optical system. For a given optical system, the Lagrange invariant is a constant throughout all space, that is, it is invariant upon refraction and transfer. R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 6
  • 8. Optical Design & Illumination  Leonard Euler (1707 – 1783) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 8
  • 9. Köhler Illumination, Köhler Integrator (Fly‘s Eye) In 1893 August Köhler (1866–1948) from Carl Zeiss in Jena, introduced a new and revolutionary method for uniform illumination of specimen in an optical microscope in his doctoral thesis. The Köhler method allows to adjust the size and the numerical aperture of the object illumination in a microscope independent from each other. August Köhler, Zeitschrift für wissenschaftliche Mikroskopie, Band X, Seite 433-440 (1893) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 9
  • 10. Köhler Illumination, Köhler Integrator (Fly‘s Eye) In 1893 August Köhler (1866–1948) from Carl Zeiss in Jena, introduced a new and revolutionary method for uniform illumination of specimen in an optical microscope in his doctoral thesis. The Köhler method allows to adjust the size and the numerical aperture of the object illumination in a microscope independent from each other. August Köhler, Zeitschrift für wissenschaftliche Mikroskopie, Band X, Seite 433-440 (1893) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 10
  • 11. 1946: Microlens Array Homogenizer R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 11
  • 12. 2005: Nikon Corporation R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 12
  • 13. Light Sources Today: More Power – Less Energy!  Uniformity  Efficiency  Costs R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 13
  • 14. Illumination Concepts For Displays Source: 3M Vikuiti R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 14
  • 15. Richard Ulbricht Invented The Ulbricht Sphere  Dr. Richard Ulbricht (1849 – 1923, Dresden)  Invented the Ulbricht Sphere when he was trying to find the optimium optics for electrical illumination of Dresden„s train stations (≈ 1891) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 15
  • 16. Tasks for Micro-Optics Intensity LED Plastic, Glass LED Homogenization Reflector Substrate Beam Collimation Beam Shaping R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 17. RXI Collimator RXI Refraction Reflection Total Internal Refraction • High efficiency LED out-coupling • Etendue limited performance (88% max) • Short (D / L ~ 3) • Bezier curves description (8 surfaces) • Direct optimization R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 18. Wafer-Scale Manufacturing Is Quite Sucessful!  Wafer-based „SEMI“ technology changed our world dramatically in the last 50 years!  Manufacturing concepts from SEMI are adapted for other products.  Computers, digital cameras, displays, LED, OLED, high-power switches, wafer-level cameras, ...  Wafer-based „SEMI“ technology for Optics is very successful.  Question: Is it now possible to integrate Optics on the LED using Wafer-Level Packaging? 18
  • 19. Wafer-Level Camera (WLC) Microlens Imprint Lithography (SMILE)
  • 20. Example: Mobile Phone Cameras R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 20
  • 21. Example: Mobile Phone Cameras Wafer-Level Solutions R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 21
  • 22. Microlens Replication on Wafer Level 1. Lens Imprinting 2. Lens Transfer Stamp Holder PDMS Stamp on glass baker Loaded PDMS Stamp UV epoxy Wafer Chuck with glass inlay Fabricated lens wafer with residual layer Fabricated lens wafer with transferred lenses R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 22
  • 23. Wafer-Level Packaging of Lens Wafer Process equipment:  Substrate holder with glass inlay to hold buffer and lens wafers by vacuum Substrate holder  Edge handling chuck or buffer plate avoids lens damages on lens surfaces  Assisted Alignment for highly precise alignment of Opto wafers prior to UV bonding  High intensity UV exposure for short process times Substrate VAC 1 Holder VAC 2 Edge Handling Chuck Loaded buffer wafer with double sided lens wafer on edge handling chuck Edge handling chuck for lens stacking with loaded buffer wafer SUSS MA/BA8 Gen3 Opto wafer stack with UV bonded lens wafer R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 23
  • 24. BMBF-VDI COMIKA: Wafer Level Camera UV Bond: Delo Katiobond AD VE18499 100µm Schott B33, 8” Basic concept of WLC sample UV Bond: Delo PHOTOBOND 4302 MA/BA8 Gen3 Micro Lens replication on 200mm wafer Material: Delo Katiobond 18499 R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 24
  • 25. Micro-Optics on Wafer-Level Unwrapped phase / lambda Refractive Microlens Arrays (ROE) Binary Optics Diffractive Optical Elements (DOE) Wafer-Level Camera for disposable endoscopes (Photo: AWAIBA) Random Diffusers, Homogenizer Wafer-Level Camera, Fiber Arrays, Sensors R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 25 252.15 504.31 756.46 1008.62 1260.77
  • 26. Micro-Optics on Wafer-Level Unwrapped phase / lambda Refractive Microlens Arrays (ROE) Binary Optics Diffractive Optical Elements (DOE) Random Diffusers, Homogenizer Wafer-Level Camera, Fiber Arrays, Sensors R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 26 252.15 504.31 756.46 1008.62 1260.77
  • 28. SUSS MicroOptics – We Set The Standards  World leading supplier of high-quality Micro-Optics  8‟‟ Wafer Technology, Wafer-Level Packaging, SUSS Imprint Lithography  More than 200 active customers, e.g. to SEMI equipment manufacturers, Laser & Optics industry, Sensors & Metrology and Medical  Part of the SUSS MicroTec Group (www.suss.com) Neuchâtel, Swiss Watch Valley SMO is “Preferred Supplier” for Carl Zeiss SMT AG: DUV Laser Beam Shaping Solutions (ASML Steppers) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 28
  • 29. SUSS MicroOptics – 8’’ Wafer Fab Cleanroom facility (Class 1 – 1000) for the wafer-based manufacturing of high-quality Micro-Optics Fully established 8‘‘ technology based on SEMI processes  200 mm wafer size (8‟‟)  Fused silica, Borofloat, Silicon and CaF2  Refractive Microlenses: Spheres, aspheres  Diffractive Optical Elements (16-level)  Random diffusers, hybride Micro-Optics  Double sided arrays, stops, coatings  Wafer-Level Packaging, Bonding  Master Lens Arrays for Replication and Imprint Lithography 29 R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 30. Micro-Optics Solutions SEMI  Semiconductor Technology Technology 18% Divers  Industrial Optics & Vision Research 9% 7%  Healthcare & Life Science Metrology 7%  Metrology Laser & Health Care & Life Material Science  Laser & Material Processing Processing 9% 28% Information  Information Technology Techn. 8% Unwrapped phase / lambda Industrial 1 2 60 .7 7 Mean -0.10 RMS 0.34 Optics,  Research P-V 2.94 Vision 14% 1 0 08 .6 2 0.72 7 5 6.4 6 0.13 y / no rm. rad iu s Ph ase / lamb d a -0.46 5 0 4.3 1 -1.04 -1.63 2 5 2.1 5 -2.22 0 .0 0 0.00 252.15 504.31 756.46 1008.62 1260.77 x / norm. radius R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 10.02.2005 Zeiss Diffusor 6101 HH, 10-02-05 RV 14:49:32 SUSS MicroOptics
  • 31. Wafer-Based Manufacturing of Microlens Arrays (ROE)  SUSS MicroOptics uses standard manufacturing technologies from Semiconductor Industry, like resist coating, lithography, resist melting, reactive ion etching, deposition, sputtering and lift-off  Excellence in quality, array uniformity, and lateral dimension accuracy R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 31
  • 32. High-Quality Diffractive Optical Elements  8„„ wafer scale  Binary, 8-level, 16-level  SiO2, B33, Si, CaF2  0.5 μm min feature size  < 50nm overlay accuracy  190nm to 5µm wavelength R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 32
  • 33. Laser Beam Shaping and Homogenizing
  • 34. Laser Beam Shaping Excimer Flat-Top Flat-Top (2D Flat-Top (1D) Spot-Generator Line-Generator Ablation (borosilicate) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 35. Diffuser for Köhler Integrator No diffuser Diffuser (rotating) Uniformity: > 10 % Uniformity: << 5 % Experiment: Laser Diode, 670 nm, condenser lens ƒFL = 40 mm R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 35
  • 36. SEM – Images of Diffusers Ground Glass 10° E Diffuser (SMO) HT Diffuser 1° (SMO) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 36
  • 37. Shaping of Random Diffusers Ground Glass Diffuser 1  300 m 1  160 m 1  500 m  2  100 m  2  70 m  2  160 m Shaped Random Diffuser (2D) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 37
  • 38. Improved Diffuser Plates (Fused Silica) Encircled Energy  Gauss, Super-Gauss, IFlat-Top, … 1 Rotational  No zero order, sharp cut-off, nosymmetric loss in large angles Gaussian like intensity HWHM shape >1.34 2.52° Encircled Energy 1.23° ° -5 -4 -3 -2 -1 0 1 2 3 4 5 R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 38
  • 39. Static 1D Random Diffuser R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 39
  • 40. MO-Optics: Customized Illumination for SUSS Mask Aligner
  • 41. MO-Optics – YIELD IMPROVEMENT MO-Optics  Better uniformity (± 2%)  More light (up to 25%)  Flexible illumination settings  Higher resolution for proximity, steeper sidewalls  Optimized illumination for specific mask pattern R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 42. Example: 480 µm Proximity GAP  Aligner: MA150 @SMO, Angle Defining Element: Small Ring  Proximity Gap: 480µm  Photoresist: AZ 1.3µm dick (Silizium-Wafer) 20µm Lines & Spaces (MO-Optics, Ring) 20µm Vias (MO-Optics, Ring) R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 43. MO-Optics: Gray-Level with Customized Illumination (Proximity Lithography at Fraunhofer IOF, Jena) #2588 Malteser 0°IFP LV1.0 #2589 Ring IFP LV0.5 #2590 Malteser 45°IPF LV1.0 #2591 ohne Blende R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009
  • 44. Substrate Conformal Imprint Lithography (SCIL) Marc A. Verschuuren, Robert van de Laar, Hans van Sprang Philips Research
  • 45. 45
  • 46. 46
  • 47. 47
  • 48. R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009 48
  • 49. Future: Light Sources and Collimation Optics on Wafer-Level?
  • 50. SUSS. Our Solutions Set Standards SUSS MicroOptics www.suss.ch SUSS MicroTec www.suss.com R. Voelkel, SUSS MicroOptics, „Wafer Scale Manufacturing“, World of Photonics, Panel, LASER„09, Munich, June 17, 2009