SlideShare a Scribd company logo
1 of 93
II ECE – SAVEETHA UNIVERSITY(SSE)
22
33
FEATURESFEATURES
 8-bit CPU optimized for control applications
 64K Program Memory address space
 64K Data Memory address space
 4K bytes of on-chip Program Memory
 128 bytes of on-chip Data RAM
 32 bidirectional and individually addressable 1/0 lines
 Two 16-bit timer/counters
 Full duplex UART
 6-source/5-vector interrupt structure with two priority levels
 On-chip clock oscillator
44SKB'sSKB's
PIN DETAILSPIN DETAILS
P1
P3
P0
P2
XTAL
+
_
RESET
Interrupt
Control
CPU
4K
ROM
128
RAM
OSC Bus
Control 4 I/O Ports
Serial
Port
Timer 1
Timer 0
BLOCK DIAGRAMBLOCK DIAGRAM
TXD RXD
P0 P1 P2 P3
66SKB'sSKB's
ARCHITECUREARCHITECURE All
77SKB'sSKB's
ARCHITECUREARCHITECURE 1
88SKB'sSKB's
ARCHITECUREARCHITECURE 2
99SKB'sSKB's
ARCHITECUREARCHITECURE 3
1010SKB'sSKB's
MEMORY STRUCTUREMEMORY STRUCTURE
External
EXT INT
128
SFR
External
Program Memory Data Memory
64K 64K
EA = 0 EA = 1
4K
60K
1111SKB'sSKB's
128 BYTE RAM128 BYTE RAM
128 BYTE
INTERNAL RAM
Register Banks
Reg Bank 0
Reg Bank 1
Reg Bank 2
Reg Bank 3
BIT Addressable
Area
General Purpose
Area
1212SKB'sSKB's
REGISTER BANK STRUCTUREREGISTER BANK STRUCTURE
R0R0 R1R1 R2R2 R3R3 R4R4 R5R5 R6R6 R7R7Bank 0
R0R0 R1R1 R2R2 R3R3 R4R4 R5R5 R6R6 R7R7Bank 3
R0R0 R1R1 R2R2 R3R3 R4R4 R5R5 R6R6 R7R7Bank 2
R0R0 R1R1 R2R2 R3R3 R4R4 R5R5 R6R6 R7R7Bank 1
CYCY ACAC F0F0 RS1RS1 RS0RS0 OVOV -- PP
Program Status Word -Program Status Word - PSWPSW
1313SKB'sSKB's
F8 FF
F0 B F7
E8 EF
E0 Acc E7
D8 DF
D0 PSW D7
C8 CF
C0 C7
B8 IP BF
B0 P3 B7
A8 IE AF
A0 P2 A7
98 SCON SBUF 9F
90 P1 97
88 TCON TMOD TL0 TL1 TH0 TH1 8F
80 P0 SP DPL DPH PCON 87
SFRSFR
1414SKB'sSKB's
1515SKB'sSKB's
TIMERS
SERIAL PORT
INTERRUPTS
1616SKB'sSKB's
TIMERS
SERIAL PORT
INTERRUPTS
1717SKB'sSKB's
Timer 0
Mode 3Mode 3
Mode 2Mode 2
Mode 1Mode 1
Mode 0Mode 0
Mode 2Mode 2
Mode 1Mode 1
Mode 0Mode 0
Timer 1
TIMERS
1818SKB'sSKB's
M0M0M1M1C/C/ŤŤGateGateM0M0M1M1C/C/ŤŤGateGate
TMODTMOD
IT0IT0IE0IE0IT1IT1IE1IE1TR0TR0TF0TF0TR1TR1TF1TF1
TCONTCON
Timer 0Timer 0Timer 1Timer 1
InterruptInterruptTimersTimers
SFRs Related to TIMER
1919SKB'sSKB's
TIMERS
SERIAL PORT
INTERRUPTS
2020SKB'sSKB's
SERIAL PORT– Mode 0
The Serial Port in Mode-0 has the following
features:
• Serial data enters and exits through RXD
• TXD outputs the shifl clock
• 8 bits are transmitted / received
• The baud rate is fixed at (1/12) of the
oscillator frequency
2121SKB'sSKB's
SERIAL PORT– Mode 1
The Serial Port in Mode-1 has the following features:
• Serial data enters RXD
• Serial data exits through TXD
• 10 bits are transmitted / received
 Start bit (0)
 Data bits (8)
 Stop Bit (1)
• Baud rate is determined by the Timer 1 over
flow rate.
2222SKB'sSKB's
RIRITITIRB8RB8TB8TB8RENRENSM2SM2SM1SM1SM0SM0
SCONSCON
IDLIDLPDPDGF0GF0GF1GF1------SMODSMOD
PCONPCON
SFRs Related to SERIAL PORT
2323SKB'sSKB's
TIMERS
SERIAL PORT
INTERRUPTS
2424SKB'sSKB's
INTERRUPTS
The Interrupt structure has the following features:
• 6 sources / 5 vectored interrupts
• Each interrupts can be individually programmable
• Each interrupts can have two priority levels
• Priority levels can be programmed
• All interrupts can be masked by a single bit - EA
• External interrupt type can be programmed
 Edge triggered
 Level Triggered
2525SKB'sSKB's
1INT
TIMER / COUNTER
0INT
0TF
1TF
TI
RI
INTERRUPT
SOURCES
IE0
IE1
2626SKB'sSKB's
EX0EX0ET0ET0EX1EX1ET1ET1ESES----EAEA
IEIE
PX0PX0PT0PT0PX1PX1PT1PT1PSPS------
IPIP
SFRs Related to INTERRUPTS
IE0IE0TF0TF0IE1IE1TF1TF1RI / TIRI / TI
LOW HIGH
Priority Within LevelPriority Within Level
IT0IT0IE0IE0IT1IT1IE1IE1TR0TR0TF0TF0TR1TR1TF1TF1
TCONTCON
2727SKB'sSKB's
2828SKB'sSKB's
MEMORY INTERFACING
External RAM Interfacing :-
MCS 51
ALE
EXT
RAM
Data
Address
RDWR RD WR
ALE
P0
P2
P1
P3
2929SKB'sSKB's
MEMORY INTERFACING
External RAM Interfacing :-
D
CLK
Q
D
CLK
Q
D
CLK
Q
D
CLK
Q
AD0
AD1
AD2
AD3
D0
D1
D2
D3
A0
A1
A2
A3
3030SKB'sSKB's
MEMORY INTERFACING
External ROM Interfacing :-
MCS 51
ALE
EXT
ROM
Instr
Address
PSEN CE
ALE
P0
P2
P1
P3
EA
EEPROM
3131SKB'sSKB's
3232SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
3333SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
3434SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
ADD A,DirectADD A,Direct
ADD A,RnADD A,Rn
ADD A,@RiADD A,@Ri
ADD A,#DataADD A,#Data
3535SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
ADDC A,DirectADDC A,Direct
ADDC A,RnADDC A,Rn
ADDC A,@RiADDC A,@Ri
ADDC A,#DataADDC A,#Data
3636SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
SUBB A,DirectSUBB A,Direct
SUBB A,RnSUBB A,Rn
SUBB A,@RiSUBB A,@Ri
SUBB A,#DataSUBB A,#Data
3737SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
INCINC AA
INCINC DirectDirect
INCINC RnRn
INCINC @Ri@Ri
3838SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
DECDEC AA
DECDEC DirectDirect
DECDEC RnRn
DECDEC @Ri@Ri
3939SKB'sSKB's
Arithmetic Operation GroupArithmetic Operation Group
INCINC DPTRDPTR
MULMUL ABAB
DIVDIV ABAB
DADA AA
4040SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
4141SKB'sSKB's
Logical Operation GroupLogical Operation Group
ANL A,DirectANL A,Direct
ANL A,RnANL A,Rn
ANL A,@RiANL A,@Ri
ANL A,#DataANL A,#Data
ANL Direct,AANL Direct,A
ANL Direct,#DataANL Direct,#Data
4242SKB'sSKB's
Logical Operation GroupLogical Operation Group
ORL A,DirectORL A,Direct
ORL A,RnORL A,Rn
ORL A,@RiORL A,@Ri
ORL A,#DataORL A,#Data
ORL Direct,AORL Direct,A
ORL Direct,#DataORL Direct,#Data
4343SKB'sSKB's
Logical Operation GroupLogical Operation Group
XRL A,DirectXRL A,Direct
XRL A,RnXRL A,Rn
XRL A,@RiXRL A,@Ri
XRL A,#DataXRL A,#Data
XRL Direct,AXRL Direct,A
XRL Direct,#DataXRL Direct,#Data
4444SKB'sSKB's
Logical Operation GroupLogical Operation Group
CLRCLR AA
CPLCPL AA
RLRL AA
RLCRLC AA
RRRR AA
RRCRRC AA
SWAP ASWAP A
4545SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
4646SKB'sSKB's
Data Transfer GroupData Transfer Group
MOV A,DirectMOV A,Direct
MOV A,RnMOV A,Rn
MOV A,@RiMOV A,@Ri
MOV A,#DataMOV A,#Data
MOV Rn,DirectMOV Rn,Direct
MOV Rn,@RiMOV Rn,@Ri
MOV Rn,#DataMOV Rn,#Data
4747SKB'sSKB's
Data Transfer GroupData Transfer Group
MOV Direct,DirectMOV Direct,Direct
MOV Direct,RnMOV Direct,Rn
MOV Direct,@RiMOV Direct,@Ri
MOV Direct,#DataMOV Direct,#Data
MOV Direct,AMOV Direct,A
MOV @Ri,AMOV @Ri,A
MOV @Ri,#DataMOV @Ri,#Data
4848SKB'sSKB's
Data Transfer GroupData Transfer Group
MOV @Ri,DirectMOV @Ri,Direct
MOV DPTR,#DATA16MOV DPTR,#DATA16
MOVC A,@A+DPTRMOVC A,@A+DPTR
MOVC A,@A+PCMOVC A,@A+PC
MOVX A,@RiMOVX A,@Ri
MOVX @Ri,AMOVX @Ri,A
MOVX @DPTR,AMOVX @DPTR,A
4949SKB'sSKB's
Data Transfer GroupData Transfer Group
PUSH DirectPUSH Direct
POPPOP DirectDirect
XCHXCH A,RnA,Rn
XCHXCH A,DirectA,Direct
XCHXCH A,@RiA,@Ri
XCHD A,@RiXCHD A,@Ri
5050SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
5151SKB'sSKB's
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
CLRCLR CC
CLRCLR bitbit
SETBSETB CC
SETB bitSETB bit
CPLCPL CC
CPLCPL bitbit
5252SKB'sSKB's
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
ANLANL C,bitC,bit
ANLANL C,/bitC,/bit
ORLORL C,bitC,bit
ORLORL C,/bitC,/bit
MOVMOV C,bitC,bit
MOVMOV bit,Cbit,C
5353SKB'sSKB's
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
JCJC relrel
JNCJNC relrel
JBJB bit,relbit,rel
JNBJNB bit,relbit,rel
JBCJBC bit,relbit,rel
5454SKB'sSKB's
Instruction SetInstruction Set
5 Groups5 Groups
Arithmetic Operation GroupArithmetic Operation Group
Logical Operation GroupLogical Operation Group
Data Transfer GroupData Transfer Group
Boolean Variable Manipulation GroupBoolean Variable Manipulation Group
Program Branching GroupProgram Branching Group
5555SKB'sSKB's
Program Branching GroupProgram Branching Group
ACALLACALL addr11addr11
LCALLLCALL addr16addr16
RETRET
RETIRETI
AJMPAJMP addr11addr11
LJMPLJMP addr16addr16
SJMPSJMP relrel
5656SKB'sSKB's
Program Branching GroupProgram Branching Group
JMPJMP @A+DPTR@A+DPTR
JZJZ relrel
JNZJNZ relrel
CJNECJNE A,Direct,relA,Direct,rel
CJNE A,#Data,relCJNE A,#Data,rel
CJNE Rn,#Data,relCJNE Rn,#Data,rel
CJNE @Ri,#Data,relCJNE @Ri,#Data,rel
5757SKB'sSKB's
Program Branching GroupProgram Branching Group
DJNZ Rn,relDJNZ Rn,rel
DJNZ Direct,relDJNZ Direct,rel
NOPNOP
5858SKB'sSKB's
UNIT - IV
5959SKB'sSKB's
UNIT - IV
6060SKB'sSKB's
82558255
Programmable Peripheral InterfaceProgrammable Peripheral Interface
24 Programmable I/O pins
Three Configurable Ports - A,B & C
BSR Mode
TTL Compatible
6161SKB'sSKB's
82558255
Programmable Peripheral InterfaceProgrammable Peripheral Interface
6262SKB'sSKB's
82558255
Programmable Peripheral InterfaceProgrammable Peripheral Interface
6363SKB'sSKB's
82558255
Programmable Peripheral InterfaceProgrammable Peripheral Interface
A1 A0 Select
0 0 PA
0 1 PB
1 0 PC
1 1 Control reg.
6464SKB'sSKB's
82558255
Programmable Peripheral InterfaceProgrammable Peripheral Interface
Group B
PortC (Lower)
1 = Input
0 = Output
PortB
1 = Input
0 = Output
Mode Selection
0 = Mode 0
1 = Mode 1
Group A
PortC (Upper)
1 = Input
0 = Output
PortA
1 = Input
0 = Output
Mode Selection
00 = Mode 0
01 = Mode 1
1x = Mode 2
D0D0D1D1D2D2D3D3D4D4D5D5D6D6D7D7
Control Word - General
BSR Mode Select
0 = BSR Mode Enabled
1 = BSR Mode Enabled
6565SKB'sSKB's
82798279
Programmable Keyboard / Display InterfaceProgrammable Keyboard / Display Interface
Simultaneous Keyboard & Display Drive
Scanned Keyboard Mode
Scanned Sensor Mode
8-Character Keyboard FIFO
Duel 8 / 16 Numerical Display
R / L Entry 16 bit Display RAM
Mode Programmable From CPU
Programmable Scan Timing
Interrupt Output on Key Entry
6666SKB'sSKB's
Pin Diagram :-
82798279
Programmable Keyboard / Display InterfaceProgrammable Keyboard / Display Interface
6767SKB'sSKB's
Signal Diagram :-
82798279
Programmable Keyboard / Display InterfaceProgrammable Keyboard / Display Interface
6868SKB'sSKB's
Block Diagram :-
82798279
Programmable Keyboard / Display InterfaceProgrammable Keyboard / Display Interface
6969SKB'sSKB's
82518251
Programmable Communication InterfaceProgrammable Communication Interface
Pin Diagram :-
7070SKB'sSKB's
Block Diagram :-
82518251
Programmable Communication InterfaceProgrammable Communication Interface
7171SKB'sSKB's
82518251
Programmable Communication InterfaceProgrammable Communication Interface
Mode Instruction Format
7272SKB'sSKB's
UNIT - V
7373SKB'sSKB's
1) Stepper Motor Control
2) Matrix Keyboard
3) Dynamic 7 Segment Display
4) Analog to Digital converter
5) DC Motor Control
6) LCD Display
7) Serial Data Transfer
STEPPER MOTOR CONTROLSTEPPER MOTOR CONTROL
 Stepper MotorStepper Motor
 Winding DiagramWinding Diagram
 SpecificationsSpecifications
 Rotation / Excitation methodsRotation / Excitation methods
 Clockwise / Anti Clockwise SequenceClockwise / Anti Clockwise Sequence
 Single & Multi Winding ExcitationSingle & Multi Winding Excitation
 Driving unit Digital & AnalogDriving unit Digital & Analog
STEPPER MOTOR CONTROLSTEPPER MOTOR CONTROL
W1 W2 W3 W4
1 1 0 0
0 0 1 1
1 0 0 1
0 1 1 0
0 0 1 1
1 0 0 1
1 1 0 0
0 1 1 0
STEPPER MOTOR CONTROLSTEPPER MOTOR CONTROL
MATRIX KEYBOARDMATRIX KEYBOARD
 General Keyboard StructureGeneral Keyboard Structure
 Adv & Disadv of General KeyboardAdv & Disadv of General Keyboard
 Layout of Matrix KeyboardLayout of Matrix Keyboard
 Scanning and Sense LinesScanning and Sense Lines
 Scan SequenceScan Sequence
 Key De-bounce MethodsKey De-bounce Methods
MATRIX KEYBOARDMATRIX KEYBOARD
1 2 3
4 5 6
7 8 9
 0 #
ScanLinesSenseLines
MATRIXKEYBOARDDRIVER
MATRIX KEYBOARDMATRIX KEYBOARD
1 2 3
4 5 6
7 8 9
 0 #
RL0
RL1
SL0
RL2
SL1
SL2
SL3
ScanLinesSenseLines
MATRIX KEYBOARDMATRIX KEYBOARD
11000000
110000
RL0RL0RL1RL1RL2RL2SL0SL0SL1SL1SL2SL2SL3SL3
001100
000011
11
22
33
00110000
110000
001100
000011
44
55
66
00001100
110000
001100
000011
77
88
99
0000000101
110000
001100
000011

00
##
1 2 3
4 5 6
7 8 9
 0 #
RL0
RL1
SL0
RL2
SL1
SL2
SL3
1 2 3
4 5 6
7 8 9
 0 #
RL0
RL1
SL0
RL2
SL1
SL2
SL3
MATRIX KEYBOARDMATRIX KEYBOARD
MATRIX KEYBOARDMATRIX KEYBOARD
DYNAMIC 7 SEGMENT DISPLAYDYNAMIC 7 SEGMENT DISPLAY
 Seven Segment DisplaySeven Segment Display
 Eight LEDsEight LEDs
 Two types –Two types – Common Anode & Common CathodeCommon Anode & Common Cathode
 Dynamic Seven Segment DisplayDynamic Seven Segment Display
 Scan SequenceScan Sequence
DYNAMIC 7 SEGMENT DISPLAYDYNAMIC 7 SEGMENT DISPLAY
V
Seven Segment Display
a
b
c
d
e
f
g
dp
a b c d e f g dp Common
DYNAMIC 7 SEGMENT DISPLAYDYNAMIC 7 SEGMENT DISPLAY
DYNAMIC 7 SEGMENT DISPLAYDYNAMIC 7 SEGMENT DISPLAY
DYNAMIC 7 SEGMENT DISPLAYDYNAMIC 7 SEGMENT DISPLAY
LCD DISPLAYLCD DISPLAY
 Principle of LCDPrinciple of LCD
 16x2 LCD16x2 LCD
 LCD Module –LCD Module – Driver & ScreenDriver & Screen
 RAMRAM
 Character MoldingCharacter Molding
 Display Type –Display Type – Cursor, L/R Entry etcCursor, L/R Entry etc
LCD DISPLAYLCD DISPLAY
LCD DISPLAYLCD DISPLAY
LCD DISPLAYLCD DISPLAY
9292SKB'sSKB's
Pin number Symbol Level I/O Function
1 Vss - - Power supply (GND)
2 Vcc - - Power supply (+5V)
3 Vee - - Contrast adjust
4 RS 0/1 I
0 = Instruction input,
1 = Data input
5 R/W 0/1 I
0 = Write to LCD module,
1 = Read from LCD module
6 E 1, 1->0 I Enable signal
7 DB0 0/1 I/O Data bus line 0 (LSB)
8 DB1 0/1 I/O Data bus line 1
9 DB2 0/1 I/O Data bus line 2
10 DB3 0/1 I/O Data bus line 3
11 DB4 0/1 I/O Data bus line 4
12 DB5 0/1 I/O Data bus line 5
13 DB6 0/1 I/O Data bus line 6
14 DB7 0/1 I/O Data bus line 7 (MSB)
LCD DISPLAYLCD DISPLAY

More Related Content

What's hot

Winter training,Readymade Projects,Buy Projects,Corporate Training
Winter training,Readymade Projects,Buy Projects,Corporate TrainingWinter training,Readymade Projects,Buy Projects,Corporate Training
Winter training,Readymade Projects,Buy Projects,Corporate TrainingTechnogroovy
 
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...Hsien-Hsin Sean Lee, Ph.D.
 
8051-mazidi-solution
8051-mazidi-solution8051-mazidi-solution
8051-mazidi-solutionZunAib Ali
 
Microprocessor system - summarize
Microprocessor system - summarizeMicroprocessor system - summarize
Microprocessor system - summarizeHisham Mat Hussin
 
8051 experiments1
8051 experiments18051 experiments1
8051 experiments1tt_aljobory
 
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction setSaumitra Rukmangad
 
FIR_Filters_with_FPGA
FIR_Filters_with_FPGAFIR_Filters_with_FPGA
FIR_Filters_with_FPGAIrvn Rynning
 
Microcontroller instruction set
Microcontroller instruction setMicrocontroller instruction set
Microcontroller instruction setShail Modi
 
Equinix IP Address Renumbering in Singapore and Sydney
Equinix IP Address Renumbering in Singapore and SydneyEquinix IP Address Renumbering in Singapore and Sydney
Equinix IP Address Renumbering in Singapore and SydneyAPNIC
 
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILP
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILPLec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILP
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILPHsien-Hsin Sean Lee, Ph.D.
 
NAT 64 FPGA Implementation
NAT 64 FPGA ImplementationNAT 64 FPGA Implementation
NAT 64 FPGA ImplementationJanith Rukman
 
Logic Design - Chapter 2: Logic Gates
Logic Design - Chapter 2: Logic GatesLogic Design - Chapter 2: Logic Gates
Logic Design - Chapter 2: Logic GatesGouda Mando
 
The Lily RowLog library
The Lily RowLog libraryThe Lily RowLog library
The Lily RowLog libraryNGDATA
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...ESUG
 
Data transfer instruction set of 8085 micro processor
Data transfer instruction set of 8085 micro processorData transfer instruction set of 8085 micro processor
Data transfer instruction set of 8085 micro processorvishalgohel12195
 

What's hot (20)

Winter training,Readymade Projects,Buy Projects,Corporate Training
Winter training,Readymade Projects,Buy Projects,Corporate TrainingWinter training,Readymade Projects,Buy Projects,Corporate Training
Winter training,Readymade Projects,Buy Projects,Corporate Training
 
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...
Lec15 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Re...
 
8051-mazidi-solution
8051-mazidi-solution8051-mazidi-solution
8051-mazidi-solution
 
RISC-V Zce Extension
RISC-V Zce ExtensionRISC-V Zce Extension
RISC-V Zce Extension
 
Microprocessor system - summarize
Microprocessor system - summarizeMicroprocessor system - summarize
Microprocessor system - summarize
 
Registers
RegistersRegisters
Registers
 
8051 instruction set
8051 instruction set8051 instruction set
8051 instruction set
 
8051 experiments1
8051 experiments18051 experiments1
8051 experiments1
 
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
8085 Paper Presentation slides,ppt,microprocessor 8085 ,guide, instruction set
 
FIR_Filters_with_FPGA
FIR_Filters_with_FPGAFIR_Filters_with_FPGA
FIR_Filters_with_FPGA
 
Microcontroller instruction set
Microcontroller instruction setMicrocontroller instruction set
Microcontroller instruction set
 
Equinix IP Address Renumbering in Singapore and Sydney
Equinix IP Address Renumbering in Singapore and SydneyEquinix IP Address Renumbering in Singapore and Sydney
Equinix IP Address Renumbering in Singapore and Sydney
 
Real Time Embedded System
Real Time Embedded SystemReal Time Embedded System
Real Time Embedded System
 
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILP
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILPLec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILP
Lec2 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- ILP
 
NAT 64 FPGA Implementation
NAT 64 FPGA ImplementationNAT 64 FPGA Implementation
NAT 64 FPGA Implementation
 
Logic Design - Chapter 2: Logic Gates
Logic Design - Chapter 2: Logic GatesLogic Design - Chapter 2: Logic Gates
Logic Design - Chapter 2: Logic Gates
 
Uc 2(vii)
Uc 2(vii)Uc 2(vii)
Uc 2(vii)
 
The Lily RowLog library
The Lily RowLog libraryThe Lily RowLog library
The Lily RowLog library
 
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...A meta model supporting both hardware and smalltalk-based execution of FPGA c...
A meta model supporting both hardware and smalltalk-based execution of FPGA c...
 
Data transfer instruction set of 8085 micro processor
Data transfer instruction set of 8085 micro processorData transfer instruction set of 8085 micro processor
Data transfer instruction set of 8085 micro processor
 

Viewers also liked

Microcontroller in automobile and applications
Microcontroller in automobile and applicationsMicrocontroller in automobile and applications
Microcontroller in automobile and applicationsKartik Kalpande Patil
 
Microcontroller 8051
Microcontroller 8051Microcontroller 8051
Microcontroller 8051Rashmi
 
Line following bot without using micro controller
Line following bot without using micro controllerLine following bot without using micro controller
Line following bot without using micro controllerRajat Verma
 
Artificial Neural Networks
Artificial Neural NetworksArtificial Neural Networks
Artificial Neural NetworksSaif Al-Kalbani
 
Pic18 f4520 and robotics
Pic18 f4520 and roboticsPic18 f4520 and robotics
Pic18 f4520 and roboticsSiddhant Chopra
 
Design of Microwave oven using 8051 micro controller
Design of Microwave oven using 8051 micro controllerDesign of Microwave oven using 8051 micro controller
Design of Microwave oven using 8051 micro controllerGautham Reddy
 
Dispersion Compensation Techniques for Optical Fiber Communication
Dispersion Compensation Techniques for Optical Fiber CommunicationDispersion Compensation Techniques for Optical Fiber Communication
Dispersion Compensation Techniques for Optical Fiber CommunicationAmit Raikar
 
Digital Communication 1
Digital Communication 1Digital Communication 1
Digital Communication 1admercano101
 
Microwave presentation
Microwave presentation   Microwave presentation
Microwave presentation Manish Bhagat
 
Digital communication viva questions
Digital communication viva questionsDigital communication viva questions
Digital communication viva questionsishan0019
 
Using 8051 microcontroller based washing machine control ppt
Using 8051 microcontroller based washing machine control pptUsing 8051 microcontroller based washing machine control ppt
Using 8051 microcontroller based washing machine control pptSangeeth Sb
 
Applications of microprocessor
Applications of microprocessorApplications of microprocessor
Applications of microprocessorAnjali Agrawal
 
8051 Microcontroller ppt
8051 Microcontroller ppt8051 Microcontroller ppt
8051 Microcontroller pptRahul Kumar
 
Digital communication system
Digital communication systemDigital communication system
Digital communication systembabak danyal
 

Viewers also liked (20)

Microcontroller in automobile and applications
Microcontroller in automobile and applicationsMicrocontroller in automobile and applications
Microcontroller in automobile and applications
 
Microcontroller 8051
Microcontroller 8051Microcontroller 8051
Microcontroller 8051
 
Line following bot without using micro controller
Line following bot without using micro controllerLine following bot without using micro controller
Line following bot without using micro controller
 
Artificial Neural Networks
Artificial Neural NetworksArtificial Neural Networks
Artificial Neural Networks
 
micro controller
micro controllermicro controller
micro controller
 
8051 basics
8051 basics8051 basics
8051 basics
 
Micro controller
Micro controllerMicro controller
Micro controller
 
Pic18 f4520 and robotics
Pic18 f4520 and roboticsPic18 f4520 and robotics
Pic18 f4520 and robotics
 
Design of Microwave oven using 8051 micro controller
Design of Microwave oven using 8051 micro controllerDesign of Microwave oven using 8051 micro controller
Design of Microwave oven using 8051 micro controller
 
analog communication
analog communicationanalog communication
analog communication
 
Microprocessors 1-8086
Microprocessors 1-8086Microprocessors 1-8086
Microprocessors 1-8086
 
Dispersion Compensation Techniques for Optical Fiber Communication
Dispersion Compensation Techniques for Optical Fiber CommunicationDispersion Compensation Techniques for Optical Fiber Communication
Dispersion Compensation Techniques for Optical Fiber Communication
 
Digital Communication 1
Digital Communication 1Digital Communication 1
Digital Communication 1
 
Microwave presentation
Microwave presentation   Microwave presentation
Microwave presentation
 
Digital communication viva questions
Digital communication viva questionsDigital communication viva questions
Digital communication viva questions
 
Dth.ppt by Himani
Dth.ppt by HimaniDth.ppt by Himani
Dth.ppt by Himani
 
Using 8051 microcontroller based washing machine control ppt
Using 8051 microcontroller based washing machine control pptUsing 8051 microcontroller based washing machine control ppt
Using 8051 microcontroller based washing machine control ppt
 
Applications of microprocessor
Applications of microprocessorApplications of microprocessor
Applications of microprocessor
 
8051 Microcontroller ppt
8051 Microcontroller ppt8051 Microcontroller ppt
8051 Microcontroller ppt
 
Digital communication system
Digital communication systemDigital communication system
Digital communication system
 

Similar to 8051 micro controller

Webinar mdc i_kan_20170417 final
Webinar mdc i_kan_20170417 finalWebinar mdc i_kan_20170417 final
Webinar mdc i_kan_20170417 finalICPDAS
 
Jitsi Videobridge, Octopodes, and Kotlin
Jitsi Videobridge, Octopodes, and KotlinJitsi Videobridge, Octopodes, and Kotlin
Jitsi Videobridge, Octopodes, and KotlinBoris Grozev
 
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...Hsien-Hsin Sean Lee, Ph.D.
 
Snapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSnapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSantosh Verma
 
20ME702– MECHATRONICS -UNIT-2.pptx
20ME702– MECHATRONICS -UNIT-2.pptx20ME702– MECHATRONICS -UNIT-2.pptx
20ME702– MECHATRONICS -UNIT-2.pptxMohanumar S
 
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS Mohanumar S
 
8085 MICROPROCESSOR.pptx
8085 MICROPROCESSOR.pptx8085 MICROPROCESSOR.pptx
8085 MICROPROCESSOR.pptxkarthik R
 
Nbsingh csir-ceeri-semiconductor-activities
Nbsingh csir-ceeri-semiconductor-activitiesNbsingh csir-ceeri-semiconductor-activities
Nbsingh csir-ceeri-semiconductor-activitiesNarendra Bahadur Singh
 
WAN SDN meet Segment Routing
WAN SDN meet Segment RoutingWAN SDN meet Segment Routing
WAN SDN meet Segment RoutingAPNIC
 
Embedded Systems,Embedded Systems Project,Winter training,
Embedded Systems,Embedded Systems Project,Winter training,Embedded Systems,Embedded Systems Project,Winter training,
Embedded Systems,Embedded Systems Project,Winter training,Technogroovy
 
FPGA Based RGB LED Display
FPGA Based RGB LED DisplayFPGA Based RGB LED Display
FPGA Based RGB LED Displaydfordivam
 
Microprocessor 8085 Chapter 4
Microprocessor 8085 Chapter 4Microprocessor 8085 Chapter 4
Microprocessor 8085 Chapter 4Rishikesh Bhavsar
 
SRv6 Network Programming: deployment use-cases
SRv6 Network Programming: deployment use-cases SRv6 Network Programming: deployment use-cases
SRv6 Network Programming: deployment use-cases APNIC
 
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...Cuneyt Goksu
 
Scale Relational Database with NewSQL
Scale Relational Database with NewSQLScale Relational Database with NewSQL
Scale Relational Database with NewSQLPingCAP
 

Similar to 8051 micro controller (20)

8051 skb's- part - ii
8051  skb's- part - ii8051  skb's- part - ii
8051 skb's- part - ii
 
2014 ii c08t-sbc pic para ecg
2014 ii c08t-sbc pic para ecg 2014 ii c08t-sbc pic para ecg
2014 ii c08t-sbc pic para ecg
 
2020 icldla-updated
2020 icldla-updated2020 icldla-updated
2020 icldla-updated
 
Webinar mdc i_kan_20170417 final
Webinar mdc i_kan_20170417 finalWebinar mdc i_kan_20170417 final
Webinar mdc i_kan_20170417 final
 
Jitsi Videobridge, Octopodes, and Kotlin
Jitsi Videobridge, Octopodes, and KotlinJitsi Videobridge, Octopodes, and Kotlin
Jitsi Videobridge, Octopodes, and Kotlin
 
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...
Lec12 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- P6, Netbur...
 
Snapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSnapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 Architecture
 
20ME702– MECHATRONICS -UNIT-2.pptx
20ME702– MECHATRONICS -UNIT-2.pptx20ME702– MECHATRONICS -UNIT-2.pptx
20ME702– MECHATRONICS -UNIT-2.pptx
 
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS
UNIT II –8085 MICROPROCESSOR AND 8051 MICROCONTROLLER---ME6702– MECHATRONICS
 
8085 MICROPROCESSOR.pptx
8085 MICROPROCESSOR.pptx8085 MICROPROCESSOR.pptx
8085 MICROPROCESSOR.pptx
 
Nbsingh csir-ceeri-semiconductor-activities
Nbsingh csir-ceeri-semiconductor-activitiesNbsingh csir-ceeri-semiconductor-activities
Nbsingh csir-ceeri-semiconductor-activities
 
WAN SDN meet Segment Routing
WAN SDN meet Segment RoutingWAN SDN meet Segment Routing
WAN SDN meet Segment Routing
 
Wds
WdsWds
Wds
 
Embedded Systems,Embedded Systems Project,Winter training,
Embedded Systems,Embedded Systems Project,Winter training,Embedded Systems,Embedded Systems Project,Winter training,
Embedded Systems,Embedded Systems Project,Winter training,
 
FPGA Based RGB LED Display
FPGA Based RGB LED DisplayFPGA Based RGB LED Display
FPGA Based RGB LED Display
 
Microprocessor 8085 Chapter 4
Microprocessor 8085 Chapter 4Microprocessor 8085 Chapter 4
Microprocessor 8085 Chapter 4
 
WIMAX
WIMAXWIMAX
WIMAX
 
SRv6 Network Programming: deployment use-cases
SRv6 Network Programming: deployment use-cases SRv6 Network Programming: deployment use-cases
SRv6 Network Programming: deployment use-cases
 
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...
Understanding IBM Tivoli OMEGAMON for DB2 Batch Reporting, Customization and ...
 
Scale Relational Database with NewSQL
Scale Relational Database with NewSQLScale Relational Database with NewSQL
Scale Relational Database with NewSQL
 

More from Poojith Chowdhary (20)

Voltage multiplier
Voltage multiplierVoltage multiplier
Voltage multiplier
 
Implementation of MIS and its methods
Implementation of MIS and its methodsImplementation of MIS and its methods
Implementation of MIS and its methods
 
THE LIGHT EMITTING DIODE
THE LIGHT EMITTING DIODETHE LIGHT EMITTING DIODE
THE LIGHT EMITTING DIODE
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
The science of thought
The science of thoughtThe science of thought
The science of thought
 
Child prodigy,savant and late boomers
Child prodigy,savant and late boomersChild prodigy,savant and late boomers
Child prodigy,savant and late boomers
 
Us wireless cable television
Us wireless cable televisionUs wireless cable television
Us wireless cable television
 
1116297 634468886714442500
1116297 6344688867144425001116297 634468886714442500
1116297 634468886714442500
 
Photo transistors
Photo transistorsPhoto transistors
Photo transistors
 
8086 micro processor
8086 micro processor8086 micro processor
8086 micro processor
 
8085 micro processor
8085 micro processor8085 micro processor
8085 micro processor
 
Quantum mechanics
Quantum mechanicsQuantum mechanics
Quantum mechanics
 
Function generator
Function generatorFunction generator
Function generator
 
Resistors
ResistorsResistors
Resistors
 
The new seven wonders of the world
The new seven wonders of the worldThe new seven wonders of the world
The new seven wonders of the world
 
Data structures & algorithms lecture 3
Data structures & algorithms lecture 3Data structures & algorithms lecture 3
Data structures & algorithms lecture 3
 
Abstract data types
Abstract data typesAbstract data types
Abstract data types
 
The new seven wonders of the world
The new seven wonders of the worldThe new seven wonders of the world
The new seven wonders of the world
 
Animal lifecycles
Animal lifecyclesAnimal lifecycles
Animal lifecycles
 
Resistors
ResistorsResistors
Resistors
 

Recently uploaded

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEarley Information Science
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilV3cube
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsRoshan Dwivedi
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Paola De la Torre
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 

Recently uploaded (20)

Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of Brazil
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 

8051 micro controller