SlideShare uma empresa Scribd logo
1 de 5
Baixar para ler offline
International Journal of Engineering Research and Development
e-ISSN : 2278-067X, p-ISSN : 2278-800X, www.ijerd.com
Volume 2, Issue 6 (August 2012), PP. 51-55


            Processor Realization for Application of Convolution
                      Prashant D Bhirange1, V. G. Nasre2, M. A. Gaikwad3
                  1
                   Department of Electronics Engineering, Acharya Shrimannarayan Polytechnic, Wardha.
              2
               P. G. Department of Electronics Engineering, B. D. College of Engineering, Sevagram, Wardha.
                             3
                               Dean R & D, B. D. College of Engineering, Sevagram, Wardha.



Abstract––Convolution is very important in signal and image processing applications which is used in filter designing.
Many algorithms have been proposed in order to accomplish an improved the performance of the filters by using the
convolution design. The architecture of the proposed RISC CPU is a uniform 32-bit instruction format, single cycle non-
pipelined processor. It has load/store architecture, where the operations will only be performed on registers, and not on
memory locations. It follows the classical von-Neumann architecture with just one common memory bus for both
instructions and data. A total of 27 instructions are designed in initial development step of the processor. The instruction
set consists of Logical, Immediate, Jump, Load, store and HALT type of instruction. The combined advantages RISC
processor such as high speed, low power, area efficient and operation-specific design possibilities have been analyzed.

Keywords—CISC, RISC, Convolution, Wallace Tree Multiplier

                                            I.          INTRODUCTION
           The trend in the past shows the RISC processors clearly outsmarting the earlier CISC processor architectures. The
reasons have been the advantages, such as simplicity, flexibility. paves for higher clock speed, by eliminating the need for
microprogramming through fixed instruction format and hardwired control logic. The combined advantages of high speed,
low power, area efficient and operation-specific design possibilities have made the RISC processor universal. The main
feature of the RISC processor is its ability to support single cycle operation, meaning that the instruction is fetched from the
instruction memory at the maximum speed from the memory. RISC processors are designed to achieve this by pipelining,
where there is a possibility of stalling of clock cycles due to wrong instruction fetch when jump type instructions are
encountered. This reduces the efficiency of the processors. This paper describes a RISC architecture in which, single cycle
operation is obtained without using a pipelined design. It averts possible stalling of clock cycles in effect. The development
of CMOS technology provides very high density and high performance integrated circuits. The performance provided by the
existing devices has created a never-ending greed for increasingly better performing devices. This predicts the use of a whole
RISC processor as a basic device by the year 2020. However, as the density of IC increases, the power consumption
becomes a major threatening issue along with the complexity of the circuits. Hence, it becomes necessary to implement less
complex, low power processor designs. In order to employ the processor for signal processing applications, a modified
Wallace tree multiplier that uses compressor circuits to achieve low power, high speed operation, in the ALU [1]. Literature
suggests that it is possible to achieve the high speed, low power and area efficient operations by reducing the stronger
operations such as multiplication, at the cost of increasing the weaker operations such as addition. Convolution is an
important signal processing application used in filter design. Many algorithms have been proposed in order to achieve an
optimized performance of the filters by optimizing the convolution design. Modified Winograd algorithm is notable among
them. They require 4 multiplication operations only, when compared to 6 for a 3*2 normal convolution methodology with an
additional rise in the number of adders to 9 from 4. These operations are expensive computation, and perform sluggishly
when implemented on microprocessors. Part of the poor performance is due to the serial nature of microprocessors, while the
operations of convolution and correlation are inherently parallel. One approach to implementing these operations in parallel
is to build them in hardware using application specific integrated circuits (ASICs). Another approach is to use Field
Programmable Gate Arrays (FPGAs) and reconfigurable computing [2]. In order to seek an alternative design that allows the
rapid development of real time image processing systems, an unified hardware architecture for some image filtering
algorithms in space domain, such as windowing-based operations, which are implemented on FPGAs (Field Programmable
Gate Arrays) [3]. For achieving this, six different filters have been implemented in a parallel approach, separating them in
simple hardware structures, allowing the algorithms to explore their parallel capabilities by using a simple systolic
architecture. Both image processing and synthesis results have demonstrated the feasibility of FPGAs for implementing the
proposed filtering algorithms in a full parallel approach. Literature presents a high-speed 2-dimensional hardware
convolution architecture based on VLSl systolic arrays for image processing applications. Architecture of “Parallel
processing by the 2-D convolution”. First a VLSl convolution chip was designed to accommodate various convolution
window sizes. Three number coefficients computing elements (processors) of are used in such processors to develop on one
VLSl chip. Signed coefficients and unsigned data of 8-bits are supported. All processing and inter-processor
communications are performed bit-serially [5]. Convolution is an important signal processing application which is used in
filter design. Convolution operation is also applied in image processing application such as spatial filters for edge detection,
blurring and sharpening. Correlation operation can also be implemented using convolution operation.


                                                              51
Processor Realization for Application of Convolution

        II.           DESIGN OF 32-BIT RISC CPU FOR CONVOLUTION OPERATION
           The architecture of the proposed RISC CPU is a uniform 16-bit instruction format, single cycle non-pipelined
processor shown in figure 1. It has a load/store architecture, where the operations will only be performed on registers, and
not on memory locations. It follows the classical von-Neumann architecture with just one common memory bus for both
instructions and data. A total of 27 instructions are designed as a first step in the process of development of the processor.
The instruction set consists of Logical, Immediate, Jump, Load, store and HALT type of instructions [1]. Program Counter:
The Program Counter (PC) is a 32-bit latch that holds the memory address of location, from which the next machine
language instruction will be fetched by the processor. It is a 6-bit pointer to indicate the instruction memory. It additionally
uses a 6-bit pointer to point to the data memory, which will be used only when a Load/Store instruction is encountered for
execution. Arithmetic and Logic unit: The arithmetic and logic unit (ALU) performs arithmetic and logic operations. It also
performs the bit operations such as rotate and shift by a defined number of bit positions. The proposed ALU contains three
sub-modules, viz. arithmetic, logic and shift modules. The arithmetic unit involves the execution of addition and
multiplication operations and generates Sign flag and Zero flag. The shift module is used for executing instructions such as
rotation and shift operations. Register File: The register file consists of 8 general purpose registers of 32-bits capacity each.
These register files are utilized during the execution of arithmetic and data-centric instructions. The load instruction is used
to load the values into the registers and store instruction is used to retrieve the values back to the memory to obtain the
processed outputs back from the processor. Instruction Decoder Unit: The decoder units decodes the instruction and gives
out the 3-bit source and destination addresses respectively, depending on the op-code’s operation and it also decides whether
the write back circuit has to be enabled or not. instructions, such as, MOV, AND, OR, XOR, ADD, SUB, SL (Shift Left), RL
(Rotate Right), SR (Shift Right), RR (Right Rotate), SWAP and Multiply instructions. LHI (Load 8-bit value into the eight
higher significant bits of the given register), LLI (Load 8-bit value into given register’s 8 least significant bits), ANDI, ORI,
XORI, ADDI, SUBI, instruction for JUMP, JZ (Jump if Zero), JNZ (Jump if not Zero), JP (Jump if positive), JN (Jump if
Negative) instructions. Instruction format is shown in figure 2. Clock Control Unit: Efficient phase scheduling and clock
gating is required to optimize the throughput and the energy consumption of the processor.
Features:
          RISC Controller
          Low Power and High Speed
          32 bit processor
          32 bit address lines
          32 x 8 bit register bank
          Internal program memory to store program
          Data memory
          32 bit ALU
          Instruction decoder and control unit (instruction set).




                                          Figure 1 Block diagram of RISC Processor




                                                              52
Processor Realization for Application of Convolution




                                            Figure 2: Processor Architecture




                      Figure 3: R-Format                                        Figure 4: I-Format




                                                   Figure 5: J-Format

                                     III.          IMPLEMENTATION
          Tools for Synthesis & Simulations Xilinx (VHDL) and ModelSim can be used & controller can be implemented.
Xilinx ISE Design Tool is the ideal downloadable solution for FPGA and CPLD design offering HDL synthesis and
simulation, implementation, device fitting, and JTAG programming. Following are the steps of designing digital circuits in
FPGA using VHDL.
     1. Use a schematic or a Hardware Description Language (HDL) to design the logic block.
     2. Use the tool to synthesis the logic block.
     3. Use Timing Analyzer to find and optimize the critical path(s).
     4. Use Floor planner and FPGA Editor to optimize the area and routing length of the block.
     5. Use FPGA Editor to map the function block into a hard macro.
     6. Delay-matching hard macro, which has a comparative delay and dimension with the function block.

                                   IV.          SIMULATION RESULTS
The simulation results of proposed processor are shown below:




                                                           53
Processor Realization for Application of Convolution




                                   Figure 6: Simulation of Data-Memory unit (opcode 00)




                                       Figure 7: Simulation of Ifetch Unit Opcode(00)




                                          Figure 8: Simulation Result of processor

                                            V.            CONCLUSION
           The realization of processor includes several blocks such as ALU, instruction fetch and decoding logic, control
unit, data memory and program memory. The proposed architecture of processor is suitable for convolution operation that
can be used for signal and image processing algorithms. Convolution is an important in signal processing application which
is used in filter design. Convolution operation can also be applied in image processing application such as spatial filters for


                                                             54
Processor Realization for Application of Convolution

edge detection, blurring and sharpening. Using convolution operation Correlation operation can also be implemented. We
can apply a clock-gating scheme in order to achieve low power consumption.

                                                         REFERENCE
  [1].   Samiappa Sakthikumaran, et al, “16-Bit RISC Processor Design for Convolution Application”, in IEEE-International Conference
         on Recent Trends in Information Technology, (ICRTIT 2011), MIT, Anna University, Chennai. June 3-5, 2011.
  [2].   Sebastien C. Wong, et al, “Fast 2D Convolution using Reconfigurable Computing,” in IEEE International Conference on Signal
         Processing, 2005.
  [3].   Jones Y. Mori, et al, “An Unified Approach for Convolution based Image Filtering on Reconfigurable Systems,” in IEEE
         Workshop on Signal Processing Systems, 2011.
  [4].   Ming Z. Zhang, et al, “An efficient multiplier-less architecture for 2-D convolution with quadrant symmetric kernels,” in Elsevier
         Integration, the VLSI Journal, vol. 40, 2007, pp 490 – 502.
  [5].   D.D. Haule, et al, “High-speed 2-D Hardware Convolution Architecture Based on VLSl Systolic Arrays,” in IEEE Pacific Rim
         Conference on Communications, Computers and Signal Processing, June 1st - 2nd, 1989.
  [6].   D. L. Perry, “ VHDL”, Tata Mcgraw Hill Edition, 4th Edition, 2002.
  [7].   C. Maxfiled, “The Design Warriors Guide to FPGAs”, Elsevier, 2004.




                                                                  55

Mais conteúdo relacionado

Mais procurados

Reduced instruction set computers
Reduced instruction set computersReduced instruction set computers
Reduced instruction set computersSanjivani Sontakke
 
Cisc vs risc
Cisc vs riscCisc vs risc
Cisc vs riscKumar
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit DesignDr.YNM
 
ARM 32-bit Microcontroller Cortex-M3 introduction
ARM 32-bit Microcontroller Cortex-M3 introductionARM 32-bit Microcontroller Cortex-M3 introduction
ARM 32-bit Microcontroller Cortex-M3 introductionanand hd
 
soc ip core based for spacecraft application
soc ip core based for spacecraft applicationsoc ip core based for spacecraft application
soc ip core based for spacecraft applicationnavyashree pari
 
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCBenchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCPriyodarshini Dhar
 
Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) A B Shinde
 
16bit RISC Processor
16bit RISC Processor16bit RISC Processor
16bit RISC ProcessorShashi Suman
 
Comparative Study of RISC AND CISC Architectures
Comparative Study of RISC AND CISC ArchitecturesComparative Study of RISC AND CISC Architectures
Comparative Study of RISC AND CISC ArchitecturesEditor IJCATR
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architecturesA B Shinde
 
Advanced computer architecture
Advanced computer architectureAdvanced computer architecture
Advanced computer architecturevamsi krishna
 
UNIT-II CPLD & FPGA Architectures and Applications
UNIT-II CPLD & FPGA  Architectures   and ApplicationsUNIT-II CPLD & FPGA  Architectures   and Applications
UNIT-II CPLD & FPGA Architectures and ApplicationsDr.YNM
 

Mais procurados (20)

Reduced instruction set computers
Reduced instruction set computersReduced instruction set computers
Reduced instruction set computers
 
Processors selection
Processors selectionProcessors selection
Processors selection
 
Cisc vs risc
Cisc vs riscCisc vs risc
Cisc vs risc
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Lc3519051910
Lc3519051910Lc3519051910
Lc3519051910
 
D031201021027
D031201021027D031201021027
D031201021027
 
Architectures for parallel
Architectures for parallelArchitectures for parallel
Architectures for parallel
 
Reconfigurable computing
Reconfigurable computingReconfigurable computing
Reconfigurable computing
 
ARM 32-bit Microcontroller Cortex-M3 introduction
ARM 32-bit Microcontroller Cortex-M3 introductionARM 32-bit Microcontroller Cortex-M3 introduction
ARM 32-bit Microcontroller Cortex-M3 introduction
 
Risc vs cisc
Risc vs ciscRisc vs cisc
Risc vs cisc
 
soc ip core based for spacecraft application
soc ip core based for spacecraft applicationsoc ip core based for spacecraft application
soc ip core based for spacecraft application
 
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISCBenchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
Benchmark Processors- VAX 8600,MC68040,SPARC and Superscalar RISC
 
Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism)
 
16bit RISC Processor
16bit RISC Processor16bit RISC Processor
16bit RISC Processor
 
Comparative Study of RISC AND CISC Architectures
Comparative Study of RISC AND CISC ArchitecturesComparative Study of RISC AND CISC Architectures
Comparative Study of RISC AND CISC Architectures
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architectures
 
Risc processors
Risc processorsRisc processors
Risc processors
 
W04505116121
W04505116121W04505116121
W04505116121
 
Advanced computer architecture
Advanced computer architectureAdvanced computer architecture
Advanced computer architecture
 
UNIT-II CPLD & FPGA Architectures and Applications
UNIT-II CPLD & FPGA  Architectures   and ApplicationsUNIT-II CPLD & FPGA  Architectures   and Applications
UNIT-II CPLD & FPGA Architectures and Applications
 

Destaque

Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Rhea Myers
 
Building awareness – be ready to strengthen national response mechanism: diff...
Building awareness – be ready to strengthen national response mechanism: diff...Building awareness – be ready to strengthen national response mechanism: diff...
Building awareness – be ready to strengthen national response mechanism: diff...Global Risk Forum GRFDavos
 
Severe accidents of nuclear power plants in Europe: possible consequences and...
Severe accidents of nuclear power plants in Europe: possible consequences and...Severe accidents of nuclear power plants in Europe: possible consequences and...
Severe accidents of nuclear power plants in Europe: possible consequences and...Global Risk Forum GRFDavos
 
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนสังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนKraJeab Vickie
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
ανθρωποι διαφορων φυλων ενωμενοι
ανθρωποι διαφορων φυλων ενωμενοιανθρωποι διαφορων φυλων ενωμενοι
ανθρωποι διαφορων φυλων ενωμενοιgymzosim
 
Rural hazards and vulnerability assessment in the downstream sector of Shiror...
Rural hazards and vulnerability assessment in the downstream sector of Shiror...Rural hazards and vulnerability assessment in the downstream sector of Shiror...
Rural hazards and vulnerability assessment in the downstream sector of Shiror...Global Risk Forum GRFDavos
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Co robić jeśli biuro podróży upadnie - poradnik
Co robić jeśli biuro podróży upadnie - poradnikCo robić jeśli biuro podróży upadnie - poradnik
Co robić jeśli biuro podróży upadnie - poradnikTripsta
 

Destaque (14)

Cheap meat never makes a good soup.
Cheap meat never makes a good soup.Cheap meat never makes a good soup.
Cheap meat never makes a good soup.
 
Building awareness – be ready to strengthen national response mechanism: diff...
Building awareness – be ready to strengthen national response mechanism: diff...Building awareness – be ready to strengthen national response mechanism: diff...
Building awareness – be ready to strengthen national response mechanism: diff...
 
Cv
CvCv
Cv
 
Severe accidents of nuclear power plants in Europe: possible consequences and...
Severe accidents of nuclear power plants in Europe: possible consequences and...Severe accidents of nuclear power plants in Europe: possible consequences and...
Severe accidents of nuclear power plants in Europe: possible consequences and...
 
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดนสังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
สังคมศึกษาศาสนาและวัฒนธรรม ม.1 เสียดินแดน
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
ανθρωποι διαφορων φυλων ενωμενοι
ανθρωποι διαφορων φυλων ενωμενοιανθρωποι διαφορων φυλων ενωμενοι
ανθρωποι διαφορων φυλων ενωμενοι
 
Flemingraf indústria gráfica ltda.0001
Flemingraf indústria gráfica  ltda.0001Flemingraf indústria gráfica  ltda.0001
Flemingraf indústria gráfica ltda.0001
 
Mahaa
MahaaMahaa
Mahaa
 
Rural hazards and vulnerability assessment in the downstream sector of Shiror...
Rural hazards and vulnerability assessment in the downstream sector of Shiror...Rural hazards and vulnerability assessment in the downstream sector of Shiror...
Rural hazards and vulnerability assessment in the downstream sector of Shiror...
 
CV
CVCV
CV
 
Science 2
Science 2Science 2
Science 2
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Co robić jeśli biuro podróży upadnie - poradnik
Co robić jeśli biuro podróży upadnie - poradnikCo robić jeśli biuro podróży upadnie - poradnik
Co robić jeśli biuro podróży upadnie - poradnik
 

Semelhante a IJERD (www.ijerd.com) International Journal of Engineering Research and Development

A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSIRJET Journal
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfssuser1e1bab
 
Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueIOSR Journals
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMjournalBEEI
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)Susam Pal
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsROHIT89352
 
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR IN CADENCE 45nmTECHNOLOGY
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR  IN CADENCE 45nmTECHNOLOGYDESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR  IN CADENCE 45nmTECHNOLOGY
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR IN CADENCE 45nmTECHNOLOGYshaikalthaf40
 
Microcontroller pic 16f877 architecture and basics
Microcontroller pic 16f877 architecture and basicsMicrocontroller pic 16f877 architecture and basics
Microcontroller pic 16f877 architecture and basicsNilesh Bhaskarrao Bahadure
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSIRJET Journal
 
Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaVLSICS Design
 
unit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxunit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxKandavelEee
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...IRJET Journal
 
A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL Andrew Yoila
 

Semelhante a IJERD (www.ijerd.com) International Journal of Engineering Research and Development (20)

A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
 
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdfDesign_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
Design_amp_analysis_of_16_bit_RISC_processor_using_low_power_pipelining.pdf
 
Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining Technique
 
IJCRT2006062.pdf
IJCRT2006062.pdfIJCRT2006062.pdf
IJCRT2006062.pdf
 
Dm25671674
Dm25671674Dm25671674
Dm25671674
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
 
A044050107
A044050107A044050107
A044050107
 
16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)16-bit Microprocessor Design (2005)
16-bit Microprocessor Design (2005)
 
A novel reduced instruction set computer-communication processor design usin...
A novel reduced instruction set computer-communication  processor design usin...A novel reduced instruction set computer-communication  processor design usin...
A novel reduced instruction set computer-communication processor design usin...
 
Design of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applicationsDesign of a low power processor for Embedded system applications
Design of a low power processor for Embedded system applications
 
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR IN CADENCE 45nmTECHNOLOGY
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR  IN CADENCE 45nmTECHNOLOGYDESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR  IN CADENCE 45nmTECHNOLOGY
DESIGN OF A 16-BIT HARVARD STRUCTURED RISC PROCESSOR IN CADENCE 45nmTECHNOLOGY
 
Microcontroller pic 16f877 architecture and basics
Microcontroller pic 16f877 architecture and basicsMicrocontroller pic 16f877 architecture and basics
Microcontroller pic 16f877 architecture and basics
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPS
 
Design and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpgaDesign and implementation of complex floating point processor using fpga
Design and implementation of complex floating point processor using fpga
 
unit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptxunit 1ARM INTRODUCTION.pptx
unit 1ARM INTRODUCTION.pptx
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
Design and Implementation of Pipelined 8-Bit RISC Processor using Verilog HDL...
 
chameleon chip
chameleon chipchameleon chip
chameleon chip
 
A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL
 
arm-cortex-a8
arm-cortex-a8arm-cortex-a8
arm-cortex-a8
 

Mais de IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksIJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEIJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignIJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationIJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRIJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingIJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string valueIJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeIJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraIJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingIJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart GridIJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderIJERD Editor
 

Mais de IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Último

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 

Último (20)

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 

IJERD (www.ijerd.com) International Journal of Engineering Research and Development

  • 1. International Journal of Engineering Research and Development e-ISSN : 2278-067X, p-ISSN : 2278-800X, www.ijerd.com Volume 2, Issue 6 (August 2012), PP. 51-55 Processor Realization for Application of Convolution Prashant D Bhirange1, V. G. Nasre2, M. A. Gaikwad3 1 Department of Electronics Engineering, Acharya Shrimannarayan Polytechnic, Wardha. 2 P. G. Department of Electronics Engineering, B. D. College of Engineering, Sevagram, Wardha. 3 Dean R & D, B. D. College of Engineering, Sevagram, Wardha. Abstract––Convolution is very important in signal and image processing applications which is used in filter designing. Many algorithms have been proposed in order to accomplish an improved the performance of the filters by using the convolution design. The architecture of the proposed RISC CPU is a uniform 32-bit instruction format, single cycle non- pipelined processor. It has load/store architecture, where the operations will only be performed on registers, and not on memory locations. It follows the classical von-Neumann architecture with just one common memory bus for both instructions and data. A total of 27 instructions are designed in initial development step of the processor. The instruction set consists of Logical, Immediate, Jump, Load, store and HALT type of instruction. The combined advantages RISC processor such as high speed, low power, area efficient and operation-specific design possibilities have been analyzed. Keywords—CISC, RISC, Convolution, Wallace Tree Multiplier I. INTRODUCTION The trend in the past shows the RISC processors clearly outsmarting the earlier CISC processor architectures. The reasons have been the advantages, such as simplicity, flexibility. paves for higher clock speed, by eliminating the need for microprogramming through fixed instruction format and hardwired control logic. The combined advantages of high speed, low power, area efficient and operation-specific design possibilities have made the RISC processor universal. The main feature of the RISC processor is its ability to support single cycle operation, meaning that the instruction is fetched from the instruction memory at the maximum speed from the memory. RISC processors are designed to achieve this by pipelining, where there is a possibility of stalling of clock cycles due to wrong instruction fetch when jump type instructions are encountered. This reduces the efficiency of the processors. This paper describes a RISC architecture in which, single cycle operation is obtained without using a pipelined design. It averts possible stalling of clock cycles in effect. The development of CMOS technology provides very high density and high performance integrated circuits. The performance provided by the existing devices has created a never-ending greed for increasingly better performing devices. This predicts the use of a whole RISC processor as a basic device by the year 2020. However, as the density of IC increases, the power consumption becomes a major threatening issue along with the complexity of the circuits. Hence, it becomes necessary to implement less complex, low power processor designs. In order to employ the processor for signal processing applications, a modified Wallace tree multiplier that uses compressor circuits to achieve low power, high speed operation, in the ALU [1]. Literature suggests that it is possible to achieve the high speed, low power and area efficient operations by reducing the stronger operations such as multiplication, at the cost of increasing the weaker operations such as addition. Convolution is an important signal processing application used in filter design. Many algorithms have been proposed in order to achieve an optimized performance of the filters by optimizing the convolution design. Modified Winograd algorithm is notable among them. They require 4 multiplication operations only, when compared to 6 for a 3*2 normal convolution methodology with an additional rise in the number of adders to 9 from 4. These operations are expensive computation, and perform sluggishly when implemented on microprocessors. Part of the poor performance is due to the serial nature of microprocessors, while the operations of convolution and correlation are inherently parallel. One approach to implementing these operations in parallel is to build them in hardware using application specific integrated circuits (ASICs). Another approach is to use Field Programmable Gate Arrays (FPGAs) and reconfigurable computing [2]. In order to seek an alternative design that allows the rapid development of real time image processing systems, an unified hardware architecture for some image filtering algorithms in space domain, such as windowing-based operations, which are implemented on FPGAs (Field Programmable Gate Arrays) [3]. For achieving this, six different filters have been implemented in a parallel approach, separating them in simple hardware structures, allowing the algorithms to explore their parallel capabilities by using a simple systolic architecture. Both image processing and synthesis results have demonstrated the feasibility of FPGAs for implementing the proposed filtering algorithms in a full parallel approach. Literature presents a high-speed 2-dimensional hardware convolution architecture based on VLSl systolic arrays for image processing applications. Architecture of “Parallel processing by the 2-D convolution”. First a VLSl convolution chip was designed to accommodate various convolution window sizes. Three number coefficients computing elements (processors) of are used in such processors to develop on one VLSl chip. Signed coefficients and unsigned data of 8-bits are supported. All processing and inter-processor communications are performed bit-serially [5]. Convolution is an important signal processing application which is used in filter design. Convolution operation is also applied in image processing application such as spatial filters for edge detection, blurring and sharpening. Correlation operation can also be implemented using convolution operation. 51
  • 2. Processor Realization for Application of Convolution II. DESIGN OF 32-BIT RISC CPU FOR CONVOLUTION OPERATION The architecture of the proposed RISC CPU is a uniform 16-bit instruction format, single cycle non-pipelined processor shown in figure 1. It has a load/store architecture, where the operations will only be performed on registers, and not on memory locations. It follows the classical von-Neumann architecture with just one common memory bus for both instructions and data. A total of 27 instructions are designed as a first step in the process of development of the processor. The instruction set consists of Logical, Immediate, Jump, Load, store and HALT type of instructions [1]. Program Counter: The Program Counter (PC) is a 32-bit latch that holds the memory address of location, from which the next machine language instruction will be fetched by the processor. It is a 6-bit pointer to indicate the instruction memory. It additionally uses a 6-bit pointer to point to the data memory, which will be used only when a Load/Store instruction is encountered for execution. Arithmetic and Logic unit: The arithmetic and logic unit (ALU) performs arithmetic and logic operations. It also performs the bit operations such as rotate and shift by a defined number of bit positions. The proposed ALU contains three sub-modules, viz. arithmetic, logic and shift modules. The arithmetic unit involves the execution of addition and multiplication operations and generates Sign flag and Zero flag. The shift module is used for executing instructions such as rotation and shift operations. Register File: The register file consists of 8 general purpose registers of 32-bits capacity each. These register files are utilized during the execution of arithmetic and data-centric instructions. The load instruction is used to load the values into the registers and store instruction is used to retrieve the values back to the memory to obtain the processed outputs back from the processor. Instruction Decoder Unit: The decoder units decodes the instruction and gives out the 3-bit source and destination addresses respectively, depending on the op-code’s operation and it also decides whether the write back circuit has to be enabled or not. instructions, such as, MOV, AND, OR, XOR, ADD, SUB, SL (Shift Left), RL (Rotate Right), SR (Shift Right), RR (Right Rotate), SWAP and Multiply instructions. LHI (Load 8-bit value into the eight higher significant bits of the given register), LLI (Load 8-bit value into given register’s 8 least significant bits), ANDI, ORI, XORI, ADDI, SUBI, instruction for JUMP, JZ (Jump if Zero), JNZ (Jump if not Zero), JP (Jump if positive), JN (Jump if Negative) instructions. Instruction format is shown in figure 2. Clock Control Unit: Efficient phase scheduling and clock gating is required to optimize the throughput and the energy consumption of the processor. Features:  RISC Controller  Low Power and High Speed  32 bit processor  32 bit address lines  32 x 8 bit register bank  Internal program memory to store program  Data memory  32 bit ALU  Instruction decoder and control unit (instruction set). Figure 1 Block diagram of RISC Processor 52
  • 3. Processor Realization for Application of Convolution Figure 2: Processor Architecture Figure 3: R-Format Figure 4: I-Format Figure 5: J-Format III. IMPLEMENTATION Tools for Synthesis & Simulations Xilinx (VHDL) and ModelSim can be used & controller can be implemented. Xilinx ISE Design Tool is the ideal downloadable solution for FPGA and CPLD design offering HDL synthesis and simulation, implementation, device fitting, and JTAG programming. Following are the steps of designing digital circuits in FPGA using VHDL. 1. Use a schematic or a Hardware Description Language (HDL) to design the logic block. 2. Use the tool to synthesis the logic block. 3. Use Timing Analyzer to find and optimize the critical path(s). 4. Use Floor planner and FPGA Editor to optimize the area and routing length of the block. 5. Use FPGA Editor to map the function block into a hard macro. 6. Delay-matching hard macro, which has a comparative delay and dimension with the function block. IV. SIMULATION RESULTS The simulation results of proposed processor are shown below: 53
  • 4. Processor Realization for Application of Convolution Figure 6: Simulation of Data-Memory unit (opcode 00) Figure 7: Simulation of Ifetch Unit Opcode(00) Figure 8: Simulation Result of processor V. CONCLUSION The realization of processor includes several blocks such as ALU, instruction fetch and decoding logic, control unit, data memory and program memory. The proposed architecture of processor is suitable for convolution operation that can be used for signal and image processing algorithms. Convolution is an important in signal processing application which is used in filter design. Convolution operation can also be applied in image processing application such as spatial filters for 54
  • 5. Processor Realization for Application of Convolution edge detection, blurring and sharpening. Using convolution operation Correlation operation can also be implemented. We can apply a clock-gating scheme in order to achieve low power consumption. REFERENCE [1]. Samiappa Sakthikumaran, et al, “16-Bit RISC Processor Design for Convolution Application”, in IEEE-International Conference on Recent Trends in Information Technology, (ICRTIT 2011), MIT, Anna University, Chennai. June 3-5, 2011. [2]. Sebastien C. Wong, et al, “Fast 2D Convolution using Reconfigurable Computing,” in IEEE International Conference on Signal Processing, 2005. [3]. Jones Y. Mori, et al, “An Unified Approach for Convolution based Image Filtering on Reconfigurable Systems,” in IEEE Workshop on Signal Processing Systems, 2011. [4]. Ming Z. Zhang, et al, “An efficient multiplier-less architecture for 2-D convolution with quadrant symmetric kernels,” in Elsevier Integration, the VLSI Journal, vol. 40, 2007, pp 490 – 502. [5]. D.D. Haule, et al, “High-speed 2-D Hardware Convolution Architecture Based on VLSl Systolic Arrays,” in IEEE Pacific Rim Conference on Communications, Computers and Signal Processing, June 1st - 2nd, 1989. [6]. D. L. Perry, “ VHDL”, Tata Mcgraw Hill Edition, 4th Edition, 2002. [7]. C. Maxfiled, “The Design Warriors Guide to FPGAs”, Elsevier, 2004. 55