SlideShare uma empresa Scribd logo
1 de 4
Baixar para ler offline
T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398
395 | P a g e
Design of Enhanced Power Gating Technique to Reduce Leakage
Power and Ground Bounce Noise for CMOS Applications
T.Ragadeepthika1
, S.Munnawar2
SREC1, 2
Abstract
In CMOS integrated circuit design there
is a trade-off between static power consumption
and technology scaling. Leakage power accounts
for an increasingly larger portion of total power
consumption in deep submicron technologies.
Recently, the power density has increased due to
combination of higher clock speeds, greater
functional integration, and smaller process
geometries. As a result static power consumption
is becoming more dominant. This is a challenge
for the circuit designers. However, the designers
do have a few methods which they can use to
reduce this static power consumption. But all of
these methods have some drawbacks. In order to
achieve lower static power consumption, one has
to sacrifice design area and circuit performance.
In this paper, we propose a new method to reduce
static power in the CMOS VLSI circuit using dual
stack approach without being penalized in area
requirement and circuit performance.
Keywords—dual stack approach, state saving
technique, stack effect, dual V-th, static power
reduction
I. INTRODUCTION
A large proportion of digital functional
blocks are only active for a small fraction of time.
When the functional block is not operating,
leakage still occurs. In deep submicron
technologies, such a phenomenon is aggravated due
to the reduced threshold voltage from scaling.
Leakage power makes up close to 40% of total
power consumption in today’s high performance
microprocessors. Leakage power reduction
becomes the key to a low power design. MOS
technology feature size and threshold voltage have
been scaling down for decades for achieving high
density and high performance. Because of this
technology trend, transistor leakage power has
increased exponentially. As the feature size
becomes smaller, shorter channel lengths result
increased Sub-threshold leakage current through a
transistor when it is off. Low threshold voltage also
results in increased sub-threshold leakage current
because transistors cannot be turned off
completely. For these reasons, static power
consumption, i.e., leakage power dissipation, has
become a significant portion of total power
consumption for current and future silicon
technologies. There are several VLSI techniques to
reduce leakage power. Each technique provides an
efficient way to reduce leakage power, but
disadvantages of each technique limit the
application of each technique. We propose a new
approach, thus providing a new choice to low-
leakage power VLSI designers. Previous
techniques are summarized and compared with our
new approach presented in this paper.
II. FULL ADDER CIRCUITS
Static logic style gives robustness
against noise effects, so automatically provides
a reliable operation. Pseudo NMOS and Pass-
transistor logic can reduce the number of
transistors required to implement a given logic
function. But those suffer from static power
dissipation. Implementing Multiplexers and
XOR based circuits are advantageous in pass
transistor logic. On the other hand, dynamic
logic implementation of complex function
requires a small silicon area but charge leakage
and charge refreshing are required which
reduces the frequency of operation. In general,
none of the mentioned styles can compete with
CMOS style in robustness and stability. The
conventional CMOS 28 transistor adder , as
shown in Figure 1, is considered as Base case
throughout this paper. All comparisons are
done with Base case. Transistor sizes are
specified as a ratio of WidthlLength (W/L). It
is observed in the conventional adder circuit
that the transistor ratio of PMOS to NMOS is 2
for an inverter. Further, power gating technique
is used to reduce the leakage power, where a
sleep transistor is connected between actual
ground rail and circuit ground. Ground bounce
noise is being estimated when the circuits are
connected with a sleep transistor. The sizing of
each block is based on the following
assumption. These sizing will reduce the
standby leakage current greatly because sub-
threshold current is directly proportional to the
Width/Length ratio of transistor. On the other
hand, these reduced sizes reduces the area
occupied by the circuit. This will reduce the
silicon chip area and in tum reduction in the
cost.
T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398
396 | P a g e
Figure I. Conventional CMOS full adder
Modified adder circuit of Design 2
shown in Figure 3, the W /L ratio of PMOS
is 1.5 times that of W /L ratio of NMOS and
each block has been treated as an equivalent
inverter. The goal of this design is to reduce
the standby leakage power. Further compared to
the Base case, Design 1 and Design 2, ground
bounce noise produced when a circuit is
connected to sleep transistor is reduced.
Figure 2. Full adder (Design I) circuit with diode
based gating
Figure 3. Full adder(Design2) circuit with stacking
based gating
Figure 4. Conventional cmos power and area
calculations.
Figure 5. Design 1 power and area calculations.
Figure 6. Design 2 power and area calculations.
III. PERFORMANCE ANALYSIS
A. Active Power
Power dissipated by the circuit when
the circuit is in active state is termed as
Active power. Input vectors are fed into the
circuit and the average power dissipation is
measured. Almost all of the input combinations are
considered for simulation. Same input vector
combinations have been given to the all three
designs- Base case, Design 1 and Design2, and
a comparison has been made for the same in
both 90nm and 70nm technology.
B. Standby leakage power
Standby leakage power is measured
when the circuit is in Standby mode. Sleep
transistor is connected to the pull down network of
1 bit full adder circuit. Sleep transistor is off by
asserting an input 0v. Size of a sleep transistor
is equal to the size of largest transistor in the
network (pull up or pull- down) connected to
T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398
397 | P a g e
the sleep transistor. The sleep transistor size in
Design 1 and Design2 is reduced due to the
resizing of the adder cells in proposed circuit.
Standby leakage power is measured by giving
different input combinations to the circuit.
Standby leakage is greatly reduced in both
Design 1 and Design2 and for both 90nm and
70nm
IV. PROPOSED DESIGN
Four bit adder active and standby power
Full adder cells shown in Figure 1, 2
and 3 are used to design 4-bit ripple carry
adder. 4-bit adders in all three designs-
Conventional, Design 1 and Design2, for both
90nm and 65nm technology, are constructed.
The active power and standby power are calculated.
Active power is calculated by setting the Select
terminal of the adder to logic high and
Standby power is calculated by setting the
Select terminal to 0V. Comparison of active and
standby power of all the designs in both 90nm
and 70nrn as shown in figure.
Fig6: four bit full adder design for diode based
power gating
Fig7: four bit full adder layout for diode based
power gating
Fig8: four bit full adder design of stacking based
power gating
Fig9: four bit full adder layout of stacking based
power gating
V. SIMULATION METHODOLOGY
We compare the dual sleep technique with
previous approaches explained earlier namely;
conventional cmos, conventional cmos with sleep
transistor, and ripple carry adder. Thus, we
compare these design approaches in terms of power
consumption (dynamic and static), delay and area
Fig 11: four bit full adder power& area calculations
for design 1
Fig12: four bit full adder power&area calculations
for design 2
VI. CONCLUSION
Miniaturization of CMOS technology
achieving high performance has resulted in increase
of leakage power dissipation. We have presented an
efficient methodology for reducing leakage power
in VLSI design. Our Dual sleep approach shows
improved results in terms of static power, dynamic
power and power delay product. It gives the CMOS
circuit designers another option in designing
integrated Circuits more efficiently.
T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398
398 | P a g e
REFERENCES
[1] S. Mutoh et al., “1-V Power Supply High-
speed Digital Circuit Technology with
Multithreshold-Voltage CMOS,” IEEE
Journal of Solis-State Circuits, Vol. 30,
No. 8, pp. 847-854, August 1995.
[2] M. Powell, S.-H. Yang, B. Falsafi, K. Roy
and T. N. Vijaykumar, “Gated-Vdd: A
Circuit Technique to Reduce Leakage in
Deepsubmicron Cache Memories,”
International Symposium on Low Power
Electronics and Design, pp. 90-95, July
2000.
[3] J.C. Park, V. J. Mooney III and P.
Pfeiffenberger,“Sleepy Stack Reduction of
Leakage Power,” Proceeding of the
International Workshop on Power and
Timing Modeling, Optimization and
Simulation, pp. 148-158, September 2004
[4] Pren R. Zimmermann, W. Fichtner, "Low-
power logic styles: CMOS versus pass-
transistor logic," IEEE J. Solid- State
Circuits, vol. 32, pp. 1079- 1090, July
1997.
[5] S.G.Narendra and A. Chandrakasan,
Leakage in Nanometer CMOS
Technologies. New York: Springer-verlag,
2006.
[6] K.Bernstein et aI., "Design and CAD
challenges in sub-90nm CMOS
technologies," in Proc. int. conf. comput.
Aided Des., 2003, pp. 129- 136.
[7] N.West. K.Eshragian, Principles of CMOS
V LSI Design: A systems Perspective,
Addison-wesley, 1993.
[8] Ku He, Rong Luo, Yu Wang, "A Power
Gating Scheme for Ground Bounce
Reduction During Mode Transition, " in
ICCD07, pp. 388-394,2007.

Mais conteúdo relacionado

Mais procurados

NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKS
NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKSNETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKS
NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKSMadhav Thekkedath
 
Design of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityDesign of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityeSAT Journals
 
Design of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyDesign of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyeSAT Publishing House
 
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...IJECEIAES
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET Journal
 
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...fanfan he
 
Influence choice of the injection nodes of energy source on on-line losses of...
Influence choice of the injection nodes of energy source on on-line losses of...Influence choice of the injection nodes of energy source on on-line losses of...
Influence choice of the injection nodes of energy source on on-line losses of...IJMER
 
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...Premier Publishers
 
WIRELESS POWER TRANSMISSION TECHNOLOGY
WIRELESS POWER TRANSMISSION TECHNOLOGYWIRELESS POWER TRANSMISSION TECHNOLOGY
WIRELESS POWER TRANSMISSION TECHNOLOGYKSunitha5
 
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...IRJET Journal
 
Vibration Energy Harvesting: Going Beyond Idealization
Vibration Energy Harvesting: Going Beyond IdealizationVibration Energy Harvesting: Going Beyond Idealization
Vibration Energy Harvesting: Going Beyond Idealizationjwcryns
 
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...iosrjce
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transferhetvi naik
 
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...Development of Wireless Power Transfer using Capacitive Method for Mouse Char...
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...IJPEDS-IAES
 

Mais procurados (19)

NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKS
NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKSNETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKS
NETWORK ENERGY SAVING TECHNOLOGIES FOR GREEN WIRELESS ACCESS NETWORKS
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transfer
 
Design of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivityDesign of vco using current mode logic with low supply sensitivity
Design of vco using current mode logic with low supply sensitivity
 
Design of vco using current mode logic with low supply
Design of vco using current mode logic with low supplyDesign of vco using current mode logic with low supply
Design of vco using current mode logic with low supply
 
Wireless SYSTEM
Wireless SYSTEMWireless SYSTEM
Wireless SYSTEM
 
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
A new design of a microstrip rectenna at 5.8 GHz for wireless power transmiss...
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...IRJET-  	  High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
IRJET- High-Efficiency Three-Level Stacked-Neutral-Point-Clamped Grid-Tie...
 
ijaerv10n9spl_473
ijaerv10n9spl_473ijaerv10n9spl_473
ijaerv10n9spl_473
 
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...
A Planar Magic-T Structure Using Substrate Integrated Circuits Concept and It...
 
Influence choice of the injection nodes of energy source on on-line losses of...
Influence choice of the injection nodes of energy source on on-line losses of...Influence choice of the injection nodes of energy source on on-line losses of...
Influence choice of the injection nodes of energy source on on-line losses of...
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transfer
 
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...
High Voltage Gain with low Current Stress Interleaved Boost Converter for Pho...
 
WIRELESS POWER TRANSMISSION TECHNOLOGY
WIRELESS POWER TRANSMISSION TECHNOLOGYWIRELESS POWER TRANSMISSION TECHNOLOGY
WIRELESS POWER TRANSMISSION TECHNOLOGY
 
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...
IRJET- Design and Analysis of a Compact Hybrid Equal and Unequal Power Divide...
 
Vibration Energy Harvesting: Going Beyond Idealization
Vibration Energy Harvesting: Going Beyond IdealizationVibration Energy Harvesting: Going Beyond Idealization
Vibration Energy Harvesting: Going Beyond Idealization
 
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...
An adaptive protection scheme to prevent recloser-fuse miscoordination in dis...
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transfer
 
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...Development of Wireless Power Transfer using Capacitive Method for Mouse Char...
Development of Wireless Power Transfer using Capacitive Method for Mouse Char...
 

Destaque

Destaque (20)

Cc34479483
Cc34479483Cc34479483
Cc34479483
 
Co34543549
Co34543549Co34543549
Co34543549
 
H344250
H344250H344250
H344250
 
Bb34327332
Bb34327332Bb34327332
Bb34327332
 
F343236
F343236F343236
F343236
 
Bi34381384
Bi34381384Bi34381384
Bi34381384
 
Cm34531538
Cm34531538Cm34531538
Cm34531538
 
Aa34163168
Aa34163168Aa34163168
Aa34163168
 
Cl34527530
Cl34527530Cl34527530
Cl34527530
 
W34137142
W34137142W34137142
W34137142
 
Aq34255260
Aq34255260Aq34255260
Aq34255260
 
Dd32653661
Dd32653661Dd32653661
Dd32653661
 
A350103
A350103A350103
A350103
 
Structure Data 2014: SISENSE SPONSOR WORKSHOP: ON BEER, CHIPS AND DATA,
Structure Data 2014: SISENSE SPONSOR WORKSHOP: ON BEER, CHIPS AND DATA, Structure Data 2014: SISENSE SPONSOR WORKSHOP: ON BEER, CHIPS AND DATA,
Structure Data 2014: SISENSE SPONSOR WORKSHOP: ON BEER, CHIPS AND DATA,
 
La super moda
La super modaLa super moda
La super moda
 
2.xtdienha
2.xtdienha2.xtdienha
2.xtdienha
 
Presentación3.pptx gbi
Presentación3.pptx gbiPresentación3.pptx gbi
Presentación3.pptx gbi
 
Historia de la electricidad
Historia de la electricidadHistoria de la electricidad
Historia de la electricidad
 
L'énigme de Mayerling Rodolphe d' Autriche
L'énigme de Mayerling Rodolphe d' Autriche L'énigme de Mayerling Rodolphe d' Autriche
L'énigme de Mayerling Rodolphe d' Autriche
 
SEO e Social - Unindo o sentimento das pessoas ao search engine
SEO e Social - Unindo o sentimento das pessoas ao search engineSEO e Social - Unindo o sentimento das pessoas ao search engine
SEO e Social - Unindo o sentimento das pessoas ao search engine
 

Semelhante a Bl34395398

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveyIJERA Editor
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNVLSICS Design
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...VLSICS Design
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...VLSICS Design
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYcscpconf
 
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach IJERA Editor
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...VLSICS Design
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...VIT-AP University
 
A Novel Planar Three Way Power Divider
A Novel Planar Three Way Power DividerA Novel Planar Three Way Power Divider
A Novel Planar Three Way Power DividerSachin Kumar Asokan
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...Nathan Mathis
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 

Semelhante a Bl34395398 (20)

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A SurveySub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
Sub-Threshold Leakage Current Reduction Techniques In VLSI Circuits -A Survey
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
W04406104107
W04406104107W04406104107
W04406104107
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
Ijaerv10n9spl 473
Ijaerv10n9spl 473Ijaerv10n9spl 473
Ijaerv10n9spl 473
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...Design and Analysis of Multi Vt  and Variable Vt based Pipelined Adder for Lo...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Lo...
 
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...
Design and Analysis of Multi Vt and Variable Vt based Pipelined Adder for Low...
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
A Novel Planar Three Way Power Divider
A Novel Planar Three Way Power DividerA Novel Planar Three Way Power Divider
A Novel Planar Three Way Power Divider
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
Ji3516041608
Ji3516041608Ji3516041608
Ji3516041608
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 

Último

Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 

Último (20)

Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 

Bl34395398

  • 1. T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398 395 | P a g e Design of Enhanced Power Gating Technique to Reduce Leakage Power and Ground Bounce Noise for CMOS Applications T.Ragadeepthika1 , S.Munnawar2 SREC1, 2 Abstract In CMOS integrated circuit design there is a trade-off between static power consumption and technology scaling. Leakage power accounts for an increasingly larger portion of total power consumption in deep submicron technologies. Recently, the power density has increased due to combination of higher clock speeds, greater functional integration, and smaller process geometries. As a result static power consumption is becoming more dominant. This is a challenge for the circuit designers. However, the designers do have a few methods which they can use to reduce this static power consumption. But all of these methods have some drawbacks. In order to achieve lower static power consumption, one has to sacrifice design area and circuit performance. In this paper, we propose a new method to reduce static power in the CMOS VLSI circuit using dual stack approach without being penalized in area requirement and circuit performance. Keywords—dual stack approach, state saving technique, stack effect, dual V-th, static power reduction I. INTRODUCTION A large proportion of digital functional blocks are only active for a small fraction of time. When the functional block is not operating, leakage still occurs. In deep submicron technologies, such a phenomenon is aggravated due to the reduced threshold voltage from scaling. Leakage power makes up close to 40% of total power consumption in today’s high performance microprocessors. Leakage power reduction becomes the key to a low power design. MOS technology feature size and threshold voltage have been scaling down for decades for achieving high density and high performance. Because of this technology trend, transistor leakage power has increased exponentially. As the feature size becomes smaller, shorter channel lengths result increased Sub-threshold leakage current through a transistor when it is off. Low threshold voltage also results in increased sub-threshold leakage current because transistors cannot be turned off completely. For these reasons, static power consumption, i.e., leakage power dissipation, has become a significant portion of total power consumption for current and future silicon technologies. There are several VLSI techniques to reduce leakage power. Each technique provides an efficient way to reduce leakage power, but disadvantages of each technique limit the application of each technique. We propose a new approach, thus providing a new choice to low- leakage power VLSI designers. Previous techniques are summarized and compared with our new approach presented in this paper. II. FULL ADDER CIRCUITS Static logic style gives robustness against noise effects, so automatically provides a reliable operation. Pseudo NMOS and Pass- transistor logic can reduce the number of transistors required to implement a given logic function. But those suffer from static power dissipation. Implementing Multiplexers and XOR based circuits are advantageous in pass transistor logic. On the other hand, dynamic logic implementation of complex function requires a small silicon area but charge leakage and charge refreshing are required which reduces the frequency of operation. In general, none of the mentioned styles can compete with CMOS style in robustness and stability. The conventional CMOS 28 transistor adder , as shown in Figure 1, is considered as Base case throughout this paper. All comparisons are done with Base case. Transistor sizes are specified as a ratio of WidthlLength (W/L). It is observed in the conventional adder circuit that the transistor ratio of PMOS to NMOS is 2 for an inverter. Further, power gating technique is used to reduce the leakage power, where a sleep transistor is connected between actual ground rail and circuit ground. Ground bounce noise is being estimated when the circuits are connected with a sleep transistor. The sizing of each block is based on the following assumption. These sizing will reduce the standby leakage current greatly because sub- threshold current is directly proportional to the Width/Length ratio of transistor. On the other hand, these reduced sizes reduces the area occupied by the circuit. This will reduce the silicon chip area and in tum reduction in the cost.
  • 2. T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398 396 | P a g e Figure I. Conventional CMOS full adder Modified adder circuit of Design 2 shown in Figure 3, the W /L ratio of PMOS is 1.5 times that of W /L ratio of NMOS and each block has been treated as an equivalent inverter. The goal of this design is to reduce the standby leakage power. Further compared to the Base case, Design 1 and Design 2, ground bounce noise produced when a circuit is connected to sleep transistor is reduced. Figure 2. Full adder (Design I) circuit with diode based gating Figure 3. Full adder(Design2) circuit with stacking based gating Figure 4. Conventional cmos power and area calculations. Figure 5. Design 1 power and area calculations. Figure 6. Design 2 power and area calculations. III. PERFORMANCE ANALYSIS A. Active Power Power dissipated by the circuit when the circuit is in active state is termed as Active power. Input vectors are fed into the circuit and the average power dissipation is measured. Almost all of the input combinations are considered for simulation. Same input vector combinations have been given to the all three designs- Base case, Design 1 and Design2, and a comparison has been made for the same in both 90nm and 70nm technology. B. Standby leakage power Standby leakage power is measured when the circuit is in Standby mode. Sleep transistor is connected to the pull down network of 1 bit full adder circuit. Sleep transistor is off by asserting an input 0v. Size of a sleep transistor is equal to the size of largest transistor in the network (pull up or pull- down) connected to
  • 3. T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398 397 | P a g e the sleep transistor. The sleep transistor size in Design 1 and Design2 is reduced due to the resizing of the adder cells in proposed circuit. Standby leakage power is measured by giving different input combinations to the circuit. Standby leakage is greatly reduced in both Design 1 and Design2 and for both 90nm and 70nm IV. PROPOSED DESIGN Four bit adder active and standby power Full adder cells shown in Figure 1, 2 and 3 are used to design 4-bit ripple carry adder. 4-bit adders in all three designs- Conventional, Design 1 and Design2, for both 90nm and 65nm technology, are constructed. The active power and standby power are calculated. Active power is calculated by setting the Select terminal of the adder to logic high and Standby power is calculated by setting the Select terminal to 0V. Comparison of active and standby power of all the designs in both 90nm and 70nrn as shown in figure. Fig6: four bit full adder design for diode based power gating Fig7: four bit full adder layout for diode based power gating Fig8: four bit full adder design of stacking based power gating Fig9: four bit full adder layout of stacking based power gating V. SIMULATION METHODOLOGY We compare the dual sleep technique with previous approaches explained earlier namely; conventional cmos, conventional cmos with sleep transistor, and ripple carry adder. Thus, we compare these design approaches in terms of power consumption (dynamic and static), delay and area Fig 11: four bit full adder power& area calculations for design 1 Fig12: four bit full adder power&area calculations for design 2 VI. CONCLUSION Miniaturization of CMOS technology achieving high performance has resulted in increase of leakage power dissipation. We have presented an efficient methodology for reducing leakage power in VLSI design. Our Dual sleep approach shows improved results in terms of static power, dynamic power and power delay product. It gives the CMOS circuit designers another option in designing integrated Circuits more efficiently.
  • 4. T.Ragadeepthika, S.Munnawar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 4, Jul-Aug 2013, pp. 395-398 398 | P a g e REFERENCES [1] S. Mutoh et al., “1-V Power Supply High- speed Digital Circuit Technology with Multithreshold-Voltage CMOS,” IEEE Journal of Solis-State Circuits, Vol. 30, No. 8, pp. 847-854, August 1995. [2] M. Powell, S.-H. Yang, B. Falsafi, K. Roy and T. N. Vijaykumar, “Gated-Vdd: A Circuit Technique to Reduce Leakage in Deepsubmicron Cache Memories,” International Symposium on Low Power Electronics and Design, pp. 90-95, July 2000. [3] J.C. Park, V. J. Mooney III and P. Pfeiffenberger,“Sleepy Stack Reduction of Leakage Power,” Proceeding of the International Workshop on Power and Timing Modeling, Optimization and Simulation, pp. 148-158, September 2004 [4] Pren R. Zimmermann, W. Fichtner, "Low- power logic styles: CMOS versus pass- transistor logic," IEEE J. Solid- State Circuits, vol. 32, pp. 1079- 1090, July 1997. [5] S.G.Narendra and A. Chandrakasan, Leakage in Nanometer CMOS Technologies. New York: Springer-verlag, 2006. [6] K.Bernstein et aI., "Design and CAD challenges in sub-90nm CMOS technologies," in Proc. int. conf. comput. Aided Des., 2003, pp. 129- 136. [7] N.West. K.Eshragian, Principles of CMOS V LSI Design: A systems Perspective, Addison-wesley, 1993. [8] Ku He, Rong Luo, Yu Wang, "A Power Gating Scheme for Ground Bounce Reduction During Mode Transition, " in ICCD07, pp. 388-394,2007.