SlideShare uma empresa Scribd logo
1 de 17
Topographical Synthesis


        Shankardas Deepti Bharat
                CGB0911002
                   VSD 532
  M.Sc. [Engg.] in VLSI System Design

Module Title: Full Chip Functional Verification
     Module Leader: Mr. Padmanaban K.




           M. S. Ramaiah School of Advanced Studies   1
Contents

•   Introduction

•   ASIC design flow

•   Topographical synthesis

•   Design compiler graphical

•   Key benefits of Topographical synthesis

•   Congestion

•   Advanced Arithmetic Optimization

•   Register retiming

•   Conclusion

•   References




                        M. S. Ramaiah School of Advanced Studies   2
Introduction

•   Traditionally congestion is analyzed and fixed only during the last stage of
    design i.e. during P&R.

•   Today this method is inefficient, as designer may be required to iterate back
    to the RTL and recode the RTL source to remove congestion-causing design
    characteristics.

•   This iterative process between synthesis & layout is time consuming.

•   These options are not optimal and can lead to missed schedules, missed
    design goals and result in added costs.




                              Figure 1. ASIC flow
                              M. S. Ramaiah School of Advanced Studies              3
ASIC design flow

Specifications


    HDL


  Functional
  verification


  Synthesis


     STA                                Topographical
                                          synthesis

     DFT


   Timing                                  Back end
 verification

        M. S. Ramaiah School of Advanced Studies        4
Topographical synthesis


•   Synopsys incorporated topographical synthesis technology into DC in 2005

•   Used to accurately predicts timing, area and power.

•   Ensures synthesis output correlates to actual layout.

•   Reduces the number of iterations required to close design goals eliminating
    the need for wire load models.

•   Early prediction of routing congestion and visualization of congestion hot
    spots and timing issues.

•   Allows RTL designers to fix design issues early, cutting time and improving
    scaling predictability.




                               M. S. Ramaiah School of Advanced Studies           5
Design Compiler Graphical


•   DC graphical provides the designer to preview layouts to decide on whether
    congestion is due to RTL structures or due to bad floor planning.

•   Includes Synopsys’ virtual global-routing technology that enables designers to
    predict wire-routing congestion during RTL synthesis.

•   Predicts congestion "hot spots" early in the design flow.

•   Provides visualization and analysis of the congested circuit regions.

•   Performs synthesis optimizations to minimize congestion in these areas.

•   Provides significant improvement in design time.




                              M. S. Ramaiah School of Advanced Studies               6
Key benefits of Topographical Technology (1/2)

•   Delivers best Quality of Results (QoR) in terms of area, timing, power and
    test Correlated to physical implementation.

•   Removes timing bottlenecks by creating fast critical paths.

•   Offers more flexibility for users to control optimization on specific areas of
    designs.

•   Distributed synthesis with automated chip synthesis.

•   Enables higher efficiency with integrated static timing analysis, test synthesis
    and power synthesis.

•   Support for multi voltage and multi supply.




                             M. S. Ramaiah School of Advanced Studies                  7
Key benefits of Topographical Technology (2/2)


•   Designers fix real design issues while still in synthesis and generate a better start
    point for physical design, eliminating costly iterations.

•   Designed for RTL designers and requires no physical design expertise or changes to
    the synthesis use model.

•   Delivers accurate correlation to post-layout timing, area and power without the
    need for WLM.




                               Figure 2. DC Ultra synthesis [1]

                                M. S. Ramaiah School of Advanced Studies                    8
Congestion


•   Routing congestion occurs when the resources (tracks) needed to route a design
    exceed the available resources.

•   Generates a routing-friendly net list topology that minimizes highly-congested
    structures and wire crossings in congested areas.




Congestion prediction
                                  Figure 3. DC graphical results [2]


                               M. S. Ramaiah School of Advanced Studies              9
Advanced Arithmetic Optimization

• To minimize performance and area impact of carry propagation, arithmetic
  trees in the HDL are optimized using carry-save arithmetic techniques.




                           Figure 4. Arithmetic optimization [2]

                             M. S. Ramaiah School of Advanced Studies        10
Powerful Critical Path Synthesis

•   Performs aggressive timing driven re-structuring, mapping and gate-level
    optimization.

•   Logic duplication for reducing the load seen by the critical path.

•   Buffer high fan out nets to improve total negative slack.




                     Figure 5. Register duplication [1]

                             M. S. Ramaiah School of Advanced Studies          11
Register Retiming

  •   Performs optimization of sequential logic by moving registers through logic
      boundaries to optimize timing with minimum area impact.

  •   Inserts pipelines registers in pure combinational circuits in order to meet
      performance and area requirements.

  •   Used along with datapath optimization algorithms.

  •   All these are performed in order to improve QoR.




Figure 6. Retiming designs with registers [1]    Figure 7. Retiming on combinational logic [1]

                              M. S. Ramaiah School of Advanced Studies                    12
Other Advantages

•   Better Control of Synthesis Cost-Function Priorities and Optimization Step
    It has a default cost function that prioritizes design rule requirements over timing and
    area constraints.
•   Infrastructure for Multicore
    Using an optimized scheme of distributed &
    multithreaded parallelization, which




                                                    # of days
    delivers 2X improvement in runtime on
    quad-core platforms.
•   Supports all popular industry
    standards formats
    Circuit Netlist: Verilog, SystemVerilog & VHDL.                         Gate count

                                                  Figure 8. Single core vs. Multi core runtimes [2]



                                 M. S. Ramaiah School of Advanced Studies                      13
Summary

•   Includes comprehensive optimization algorithms to deliver best-in-class
    quality of results.
•   The Topographical technology ensures results that correlate to layout,
    eliminating costly iterations between synthesis and physical implementation.
•   It remains to be the synthesis tool of choice with its advanced feature set and
    a proven track record of countless design successes.
•   It provides the ability to accurately predict, visualize and alleviate routing
    congestion, substantially reducing iterations between synthesis and physical
    implementation.




                               M. S. Ramaiah School of Advanced Studies               14
References


[1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from
    <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D
    ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012
[2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from <
    http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag
    es/default.aspx>Retrieved on 26th Feb 2012




                              M. S. Ramaiah School of Advanced Studies            15
Thank You




M. S. Ramaiah School of Advanced Studies   16
Remarks



Sl. No.              Topic                    Max. marks            Marks
                                                                   obtained
  1            Quality of slides                     5
  2            Clarity of subject                    5
  3              Presentation                        5
  4       Effort and question handling               5
                Total                               20




                        M. S. Ramaiah School of Advanced Studies              17

Mais conteúdo relacionado

Mais procurados

Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 

Mais procurados (20)

Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Physical design
Physical design Physical design
Physical design
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Physical design
Physical design Physical design
Physical design
 

Destaque (14)

Vlsi
VlsiVlsi
Vlsi
 
MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010MS Thesis of Al Ameen 1.5 2010
MS Thesis of Al Ameen 1.5 2010
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
 
Sodc 1 Introduction
Sodc 1 IntroductionSodc 1 Introduction
Sodc 1 Introduction
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Sequential circuits in digital logic design
Sequential circuits in digital logic designSequential circuits in digital logic design
Sequential circuits in digital logic design
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Flipflop
FlipflopFlipflop
Flipflop
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Synthesis Examples
Synthesis ExamplesSynthesis Examples
Synthesis Examples
 
Pass transistor logic
Pass transistor logicPass transistor logic
Pass transistor logic
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Chapter 4 flip flop for students
Chapter 4 flip flop for studentsChapter 4 flip flop for students
Chapter 4 flip flop for students
 

Semelhante a Topograhical synthesis

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingSudhanshu Janwadkar
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designShaelMalik
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016srkkakarla
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...University of Maribor
 
Post Processing
Post Processing Post Processing
Post Processing KTN
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET Journal
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Alessio Bucaioni
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signallingKrishna Kumar
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthDeepak Shankar
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The SupercomputerAnkit Singh
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...ijceronline
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez DataWorks Summit
 

Semelhante a Topograhical synthesis (20)

Research challenges in Reconfigurable Computing
Research challenges in Reconfigurable ComputingResearch challenges in Reconfigurable Computing
Research challenges in Reconfigurable Computing
 
SEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit designSEMINAR[2].pptx automatic circuit design
SEMINAR[2].pptx automatic circuit design
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012 Fahroo - Computational Mathematics - Spring Review 2012
Fahroo - Computational Mathematics - Spring Review 2012
 
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
HPC Deployment / Use Cases (EVEREST + DAPHNE: Workshop on Design and Programm...
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
Post Processing
Post Processing Post Processing
Post Processing
 
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...IRJET-  	  Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
IRJET- Enhancing Line Efficiency of Road Machinery Assembly Line at Volvo...
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
 
defense_PPT
defense_PPTdefense_PPT
defense_PPT
 
Krishnakumar signalling
Krishnakumar signallingKrishnakumar signalling
Krishnakumar signalling
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Architectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidthArchitectural tricks to maximize memory bandwidth
Architectural tricks to maximize memory bandwidth
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
Univa Presentation at DAC 2020
Univa Presentation at DAC 2020 Univa Presentation at DAC 2020
Univa Presentation at DAC 2020
 
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
Matlab Based High Level Synthesis Engine for Area And Power Efficient Arithme...
 
Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez Graphene – Microsoft SCOPE on Tez
Graphene – Microsoft SCOPE on Tez
 
CAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based SystemsCAOS: A CAD Framework for FPGA-Based Systems
CAOS: A CAD Framework for FPGA-Based Systems
 
Uzair's CV
Uzair's CVUzair's CV
Uzair's CV
 

Mais de Deiptii Das

Organic transistors
Organic transistorsOrganic transistors
Organic transistorsDeiptii Das
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage ReferenceDeiptii Das
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significanceDeiptii Das
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor propertiesDeiptii Das
 

Mais de Deiptii Das (6)

Organic transistors
Organic transistorsOrganic transistors
Organic transistors
 
Voltage Reference
Voltage ReferenceVoltage Reference
Voltage Reference
 
Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 

Último

ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...Nguyen Thanh Tu Collection
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Pooja Bhuva
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and ModificationsMJDuyan
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Jisc
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptx21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptxJoelynRubio1
 
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...Amil baba
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibitjbellavia9
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxRamakrishna Reddy Bijjam
 
How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17Celine George
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxannathomasp01
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jisc
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...ZurliaSoop
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...pradhanghanshyam7136
 
REMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxREMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxDr. Ravikiran H M Gowda
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxDenish Jangid
 

Último (20)

ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptx21st_Century_Skills_Framework_Final_Presentation_2.pptx
21st_Century_Skills_Framework_Final_Presentation_2.pptx
 
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
NO1 Top Black Magic Specialist In Lahore Black magic In Pakistan Kala Ilam Ex...
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
REMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxREMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptx
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 

Topograhical synthesis

  • 1. Topographical Synthesis Shankardas Deepti Bharat CGB0911002 VSD 532 M.Sc. [Engg.] in VLSI System Design Module Title: Full Chip Functional Verification Module Leader: Mr. Padmanaban K. M. S. Ramaiah School of Advanced Studies 1
  • 2. Contents • Introduction • ASIC design flow • Topographical synthesis • Design compiler graphical • Key benefits of Topographical synthesis • Congestion • Advanced Arithmetic Optimization • Register retiming • Conclusion • References M. S. Ramaiah School of Advanced Studies 2
  • 3. Introduction • Traditionally congestion is analyzed and fixed only during the last stage of design i.e. during P&R. • Today this method is inefficient, as designer may be required to iterate back to the RTL and recode the RTL source to remove congestion-causing design characteristics. • This iterative process between synthesis & layout is time consuming. • These options are not optimal and can lead to missed schedules, missed design goals and result in added costs. Figure 1. ASIC flow M. S. Ramaiah School of Advanced Studies 3
  • 4. ASIC design flow Specifications HDL Functional verification Synthesis STA Topographical synthesis DFT Timing Back end verification M. S. Ramaiah School of Advanced Studies 4
  • 5. Topographical synthesis • Synopsys incorporated topographical synthesis technology into DC in 2005 • Used to accurately predicts timing, area and power. • Ensures synthesis output correlates to actual layout. • Reduces the number of iterations required to close design goals eliminating the need for wire load models. • Early prediction of routing congestion and visualization of congestion hot spots and timing issues. • Allows RTL designers to fix design issues early, cutting time and improving scaling predictability. M. S. Ramaiah School of Advanced Studies 5
  • 6. Design Compiler Graphical • DC graphical provides the designer to preview layouts to decide on whether congestion is due to RTL structures or due to bad floor planning. • Includes Synopsys’ virtual global-routing technology that enables designers to predict wire-routing congestion during RTL synthesis. • Predicts congestion "hot spots" early in the design flow. • Provides visualization and analysis of the congested circuit regions. • Performs synthesis optimizations to minimize congestion in these areas. • Provides significant improvement in design time. M. S. Ramaiah School of Advanced Studies 6
  • 7. Key benefits of Topographical Technology (1/2) • Delivers best Quality of Results (QoR) in terms of area, timing, power and test Correlated to physical implementation. • Removes timing bottlenecks by creating fast critical paths. • Offers more flexibility for users to control optimization on specific areas of designs. • Distributed synthesis with automated chip synthesis. • Enables higher efficiency with integrated static timing analysis, test synthesis and power synthesis. • Support for multi voltage and multi supply. M. S. Ramaiah School of Advanced Studies 7
  • 8. Key benefits of Topographical Technology (2/2) • Designers fix real design issues while still in synthesis and generate a better start point for physical design, eliminating costly iterations. • Designed for RTL designers and requires no physical design expertise or changes to the synthesis use model. • Delivers accurate correlation to post-layout timing, area and power without the need for WLM. Figure 2. DC Ultra synthesis [1] M. S. Ramaiah School of Advanced Studies 8
  • 9. Congestion • Routing congestion occurs when the resources (tracks) needed to route a design exceed the available resources. • Generates a routing-friendly net list topology that minimizes highly-congested structures and wire crossings in congested areas. Congestion prediction Figure 3. DC graphical results [2] M. S. Ramaiah School of Advanced Studies 9
  • 10. Advanced Arithmetic Optimization • To minimize performance and area impact of carry propagation, arithmetic trees in the HDL are optimized using carry-save arithmetic techniques. Figure 4. Arithmetic optimization [2] M. S. Ramaiah School of Advanced Studies 10
  • 11. Powerful Critical Path Synthesis • Performs aggressive timing driven re-structuring, mapping and gate-level optimization. • Logic duplication for reducing the load seen by the critical path. • Buffer high fan out nets to improve total negative slack. Figure 5. Register duplication [1] M. S. Ramaiah School of Advanced Studies 11
  • 12. Register Retiming • Performs optimization of sequential logic by moving registers through logic boundaries to optimize timing with minimum area impact. • Inserts pipelines registers in pure combinational circuits in order to meet performance and area requirements. • Used along with datapath optimization algorithms. • All these are performed in order to improve QoR. Figure 6. Retiming designs with registers [1] Figure 7. Retiming on combinational logic [1] M. S. Ramaiah School of Advanced Studies 12
  • 13. Other Advantages • Better Control of Synthesis Cost-Function Priorities and Optimization Step It has a default cost function that prioritizes design rule requirements over timing and area constraints. • Infrastructure for Multicore Using an optimized scheme of distributed & multithreaded parallelization, which # of days delivers 2X improvement in runtime on quad-core platforms. • Supports all popular industry standards formats Circuit Netlist: Verilog, SystemVerilog & VHDL. Gate count Figure 8. Single core vs. Multi core runtimes [2] M. S. Ramaiah School of Advanced Studies 13
  • 14. Summary • Includes comprehensive optimization algorithms to deliver best-in-class quality of results. • The Topographical technology ensures results that correlate to layout, eliminating costly iterations between synthesis and physical implementation. • It remains to be the synthesis tool of choice with its advanced feature set and a proven track record of countless design successes. • It provides the ability to accurately predict, visualize and alleviate routing congestion, substantially reducing iterations between synthesis and physical implementation. M. S. Ramaiah School of Advanced Studies 14
  • 15. References [1] Synopsys Inc. , (2006) ‘Design Compiler Ultra’ [online] available from <http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/D ocuments/DCUltra-ds.pdf>Retrieved on 26th Feb 2012 [2] Synopsys Inc. ,(2011) ‘Design Compiler Graphical’ [online] available from < http://www.synopsys.com/tools/implementation/rtlsynthesis/dcgraphical/Pag es/default.aspx>Retrieved on 26th Feb 2012 M. S. Ramaiah School of Advanced Studies 15
  • 16. Thank You M. S. Ramaiah School of Advanced Studies 16
  • 17. Remarks Sl. No. Topic Max. marks Marks obtained 1 Quality of slides 5 2 Clarity of subject 5 3 Presentation 5 4 Effort and question handling 5 Total 20 M. S. Ramaiah School of Advanced Studies 17