SlideShare uma empresa Scribd logo
1 de 27
Advanced Floorplanning and Clock Tree Techniques For Handling Large Regular Structures Paul Dudek – Sr. Physical Design Engr. J. Bhasker - Architect eSilicon Corporation
Introduction Some complex and timing critical chip layout designs require user manual guidance in order to workaround tool limitations. This presentation will provide a few examples of chip layout challenges and  type of solutions that have been used at eSilicon.
Topics covered ,[object Object],[object Object],[object Object],[object Object]
Xbar Overview ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Outport blocks 15 16 14 15 16 13 14 15 11 12 13 10 11 12 10 11 09 10 11 08 09 07 08 06 07 08 05 06 07 04 05 06 03 04 02 03 04 00 01 02 00 01 00 26 25 08 16 input_port blocks
Xbar Overview – actual layout
Buffer/FF Stages Between Hierarchical Blocks . . . . . . Center block Hierarchical block pins were distributed and manually adjusted to later drive custom routing and repeater buffer and FF cells  (inside the channels between the blocks) placement .
Buffer/FF Stages Between Hierarchical Blocks input _port input _port . . . outport . . . outport Repeater buffers were driving hundreds of signals from left-to-right, right-to-left, top-to-bottom and bottom-to-top and needed to be placed at exact locations.
Buffer/FF Stages Between Hierarchical Blocks The buffer/flop repeater stages were grouped and made into temporary hierarchical blocks. Hierarchical blocks Temporary hierarchical block (flattened after  floorplanning stage) Custom routing from/to The repeater stages.
Buffer/FF Stages Between Hierarchical Blocks Actual view of the repeater cells within a single Xbar column.
Buffer/FF Stages Between Hierarchical Blocks ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Buffer/FF Stages Between Hierarchical Blocks Repeater cells for vertical routing Repeater cells for horizontal routing Hierarchical blocks Final view of the flattened temporary blocks repeater stages.
M9 custom vertical signal routes M8 custom horizontal signal routes ‘ Center’ hierarchical blocks All custom critical routing was done in thick M8 and M9 above the hierarchical blocks. The blocks had M7 PG mesh with max, 70% util.  Critical Routing - ‘thick’ M8/M9
Xbar custom routing corner view  M9 custom vertical signal routes M8 custom horizontal signal routes Critical Routing
M8/M9 ‘thick metal’ VSS/VDD mesh M8/M9 routing tracks (or routing grids) Note the M8/M9 PG mesh was built in such way that only 1 signal route could be routed in-between, resulting in automatic pre-built shielding for all critical routes. Critical Routing - shielding
Critical Routing - summary ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Clock “sync” cells attempting to balance the clock tree skew made the skew worse. This was due to the narrow channels between the xbar hierarchical blocks. Clock Tree Clock tree skew balance  cells Existing repeater cells
Clock Tree Custom clock tree was built using a script placing clock inverter cells up to 64 quadrants, after which automatic CTS was run to tool built the remaining tree.
Clock Tree Same as previous picture, but showing all the hierarchical blocks.
Clock Tree Example of one of the 64 quadrants. Hierarchical blocks “ Flylines” showing target connections Clock driver cell for blocks’ clock pins
Clock Tree Example of one of the 64 quadrants. Hierarchical blocks “ Flylines” showing target connections Clock driver cell for FF cells
Clock Tree Clock tree – 1st attempt. (showing flylines to the final targets) Each quadrant was divided equally, where the channels were “split” in the center assigning half the FFs to one quadrant and the other half to the other. Tool was unable to balance the skew between the quadrants.
Clock Tree Clock tree – 2nd attempt. The channel FF cells “split” in the center was removed and “ whole” channels were assign to a given quadrant. Skew has improved but the tool was still unable to balance the skew between the quadrants.
Clock Tree Clock tree – 3rd attempt. The custom H-tree was moved to minimize wire length to the final targets. Tool was able to provide local skew of 120p and global skew of 180p. Target skew was 200 ps.
Clock Tree 3 attempts comparison.
Tool Usage ,[object Object],[object Object],[object Object]
Conclusion Complex design structures such as a crossbar require user guidance and ability to manipulate layout database structure. Scripting allows quick update of layout database for each incremental revision of the netlist.
 

Mais conteúdo relacionado

Mais procurados

Oblique Memory Array Design
Oblique Memory Array DesignOblique Memory Array Design
Oblique Memory Array DesignSujit Nayak
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESPERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESBUKYABALAJI
 
Fruct14 sholokhova
Fruct14 sholokhovaFruct14 sholokhova
Fruct14 sholokhovaOSLL
 
Efficient Designs of Multiported Memory on FPGA
Efficient Designs of Multiported Memory on FPGAEfficient Designs of Multiported Memory on FPGA
Efficient Designs of Multiported Memory on FPGAJAYAPRAKASH JPINFOTECH
 
PLA Minimization -Testing
PLA Minimization -TestingPLA Minimization -Testing
PLA Minimization -TestingDr.YNM
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Three Phase Ac Winding Calculation
Three Phase Ac Winding CalculationThree Phase Ac Winding Calculation
Three Phase Ac Winding Calculationzlatkodo
 

Mais procurados (19)

Oblique Memory Array Design
Oblique Memory Array DesignOblique Memory Array Design
Oblique Memory Array Design
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESPERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATES
 
Network Topology
Network Topology Network Topology
Network Topology
 
Fruct14 sholokhova
Fruct14 sholokhovaFruct14 sholokhova
Fruct14 sholokhova
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
Aca2 09 new
Aca2 09 newAca2 09 new
Aca2 09 new
 
Efficient Designs of Multiported Memory on FPGA
Efficient Designs of Multiported Memory on FPGAEfficient Designs of Multiported Memory on FPGA
Efficient Designs of Multiported Memory on FPGA
 
PLA Minimization -Testing
PLA Minimization -TestingPLA Minimization -Testing
PLA Minimization -Testing
 
B0540714
B0540714B0540714
B0540714
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Connecting devices ece 702
Connecting devices ece 702Connecting devices ece 702
Connecting devices ece 702
 
Low power sram
Low power sramLow power sram
Low power sram
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Three Phase Ac Winding Calculation
Three Phase Ac Winding CalculationThree Phase Ac Winding Calculation
Three Phase Ac Winding Calculation
 
Dqdb & Fddi
Dqdb & FddiDqdb & Fddi
Dqdb & Fddi
 

Destaque

Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisAlona Gradman
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track fAlona Gradman
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track gAlona Gradman
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updatedAlona Gradman
 
Bary pangrle mentor track d
Bary pangrle   mentor track dBary pangrle   mentor track d
Bary pangrle mentor track dAlona Gradman
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track fAlona Gradman
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track eAlona Gradman
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensAlona Gradman
 
FLOORPLANNING USING DE
FLOORPLANNING USING DEFLOORPLANNING USING DE
FLOORPLANNING USING DEshefalig93
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track cAlona Gradman
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updatedAlona Gradman
 

Destaque (20)

Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh SynthesisTiming¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
Timing¬Driven Variation¬Aware NonuniformClock Mesh Synthesis
 
Vsync track c
Vsync   track cVsync   track c
Vsync track c
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
 
Synopsys track c
Synopsys track cSynopsys track c
Synopsys track c
 
Mullbery& veriest track g
Mullbery& veriest  track gMullbery& veriest  track g
Mullbery& veriest track g
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
 
Mips track a
Mips   track aMips   track a
Mips track a
 
Arm updated track h
Arm updated  track hArm updated  track h
Arm updated track h
 
C:\fakepath\apache track d updated
C:\fakepath\apache   track d updatedC:\fakepath\apache   track d updated
C:\fakepath\apache track d updated
 
Bary pangrle mentor track d
Bary pangrle   mentor track dBary pangrle   mentor track d
Bary pangrle mentor track d
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
 
Intel track a
Intel   track aIntel   track a
Intel track a
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
National instruments track e
National instruments   track eNational instruments   track e
National instruments track e
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
 
CAD: Floorplanning
CAD: Floorplanning CAD: Floorplanning
CAD: Floorplanning
 
Xilinx track g
Xilinx   track gXilinx   track g
Xilinx track g
 
FLOORPLANNING USING DE
FLOORPLANNING USING DEFLOORPLANNING USING DE
FLOORPLANNING USING DE
 
C:\fakepath\micrologic track c
C:\fakepath\micrologic   track cC:\fakepath\micrologic   track c
C:\fakepath\micrologic track c
 
Apache track d updated
Apache   track d updatedApache   track d updated
Apache track d updated
 

Semelhante a E silicon track b

Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
FPGA-Arch (3).ppt
FPGA-Arch (3).pptFPGA-Arch (3).ppt
FPGA-Arch (3).pptArthi579360
 
FPGA architecture.ppt
FPGA architecture.pptFPGA architecture.ppt
FPGA architecture.pptEcAlwinjolly
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.pptgowri R
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical designMurali Rai
 
CCNP Switching Chapter 1
CCNP Switching Chapter 1CCNP Switching Chapter 1
CCNP Switching Chapter 1Chaing Ravuth
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNshrutishreya14
 

Semelhante a E silicon track b (20)

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
Asic
AsicAsic
Asic
 
FPGA-Arch (3).ppt
FPGA-Arch (3).pptFPGA-Arch (3).ppt
FPGA-Arch (3).ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA architecture.ppt
FPGA architecture.pptFPGA architecture.ppt
FPGA architecture.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
FPGA-Architecture.ppt
FPGA-Architecture.pptFPGA-Architecture.ppt
FPGA-Architecture.ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
CCNP Switching Chapter 1
CCNP Switching Chapter 1CCNP Switching Chapter 1
CCNP Switching Chapter 1
 
Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
 
Cache memory
Cache memoryCache memory
Cache memory
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 

Último

80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...Nguyen Thanh Tu Collection
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfagholdier
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.christianmathematics
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibitjbellavia9
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSCeline George
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxVishalSingh1417
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxEsquimalt MFRC
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseAnaAcapella
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - Englishneillewis46
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Pooja Bhuva
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxJisc
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17Celine George
 

Último (20)

80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Spellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please PractiseSpellings Wk 3 English CAPS CARES Please Practise
Spellings Wk 3 English CAPS CARES Please Practise
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
Beyond_Borders_Understanding_Anime_and_Manga_Fandom_A_Comprehensive_Audience_...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptx
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 

E silicon track b

  • 1. Advanced Floorplanning and Clock Tree Techniques For Handling Large Regular Structures Paul Dudek – Sr. Physical Design Engr. J. Bhasker - Architect eSilicon Corporation
  • 2. Introduction Some complex and timing critical chip layout designs require user manual guidance in order to workaround tool limitations. This presentation will provide a few examples of chip layout challenges and type of solutions that have been used at eSilicon.
  • 3.
  • 4.
  • 5. Xbar Overview – actual layout
  • 6. Buffer/FF Stages Between Hierarchical Blocks . . . . . . Center block Hierarchical block pins were distributed and manually adjusted to later drive custom routing and repeater buffer and FF cells (inside the channels between the blocks) placement .
  • 7. Buffer/FF Stages Between Hierarchical Blocks input _port input _port . . . outport . . . outport Repeater buffers were driving hundreds of signals from left-to-right, right-to-left, top-to-bottom and bottom-to-top and needed to be placed at exact locations.
  • 8. Buffer/FF Stages Between Hierarchical Blocks The buffer/flop repeater stages were grouped and made into temporary hierarchical blocks. Hierarchical blocks Temporary hierarchical block (flattened after floorplanning stage) Custom routing from/to The repeater stages.
  • 9. Buffer/FF Stages Between Hierarchical Blocks Actual view of the repeater cells within a single Xbar column.
  • 10.
  • 11. Buffer/FF Stages Between Hierarchical Blocks Repeater cells for vertical routing Repeater cells for horizontal routing Hierarchical blocks Final view of the flattened temporary blocks repeater stages.
  • 12. M9 custom vertical signal routes M8 custom horizontal signal routes ‘ Center’ hierarchical blocks All custom critical routing was done in thick M8 and M9 above the hierarchical blocks. The blocks had M7 PG mesh with max, 70% util. Critical Routing - ‘thick’ M8/M9
  • 13. Xbar custom routing corner view M9 custom vertical signal routes M8 custom horizontal signal routes Critical Routing
  • 14. M8/M9 ‘thick metal’ VSS/VDD mesh M8/M9 routing tracks (or routing grids) Note the M8/M9 PG mesh was built in such way that only 1 signal route could be routed in-between, resulting in automatic pre-built shielding for all critical routes. Critical Routing - shielding
  • 15.
  • 16. Clock “sync” cells attempting to balance the clock tree skew made the skew worse. This was due to the narrow channels between the xbar hierarchical blocks. Clock Tree Clock tree skew balance cells Existing repeater cells
  • 17. Clock Tree Custom clock tree was built using a script placing clock inverter cells up to 64 quadrants, after which automatic CTS was run to tool built the remaining tree.
  • 18. Clock Tree Same as previous picture, but showing all the hierarchical blocks.
  • 19. Clock Tree Example of one of the 64 quadrants. Hierarchical blocks “ Flylines” showing target connections Clock driver cell for blocks’ clock pins
  • 20. Clock Tree Example of one of the 64 quadrants. Hierarchical blocks “ Flylines” showing target connections Clock driver cell for FF cells
  • 21. Clock Tree Clock tree – 1st attempt. (showing flylines to the final targets) Each quadrant was divided equally, where the channels were “split” in the center assigning half the FFs to one quadrant and the other half to the other. Tool was unable to balance the skew between the quadrants.
  • 22. Clock Tree Clock tree – 2nd attempt. The channel FF cells “split” in the center was removed and “ whole” channels were assign to a given quadrant. Skew has improved but the tool was still unable to balance the skew between the quadrants.
  • 23. Clock Tree Clock tree – 3rd attempt. The custom H-tree was moved to minimize wire length to the final targets. Tool was able to provide local skew of 120p and global skew of 180p. Target skew was 200 ps.
  • 24. Clock Tree 3 attempts comparison.
  • 25.
  • 26. Conclusion Complex design structures such as a crossbar require user guidance and ability to manipulate layout database structure. Scripting allows quick update of layout database for each incremental revision of the netlist.
  • 27.