SlideShare uma empresa Scribd logo
1 de 27
Dynamic Shift Frequency Scaling of ATPG Patterns ,[object Object],[object Object]
Agenda ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],[object Object],Introduction 130NM and above 90NM and below Stuck-at Transition Path-Delay Bridging Stuck-at
Background Test Time Reduction ,[object Object],ATPG Test Time  ≈   ( # of Patterns x Length ) / Frequency Pattern Compression Adaptive Scan More Scan Chains Increase!
[object Object],TIMING POWER Scan Shift Setup Timing Last Shift Launch Methodologies Dynamic Power Dissipation! Power ~ Switching Activity Power ~  Frequency Increased Switching  ->  Lower Frequency Background Shift Frequency
Background Solution ,[object Object],[object Object],[object Object],[object Object]
Background Sample Power Analysis ,[object Object],Leakage Dynamic
Background Solution ,[object Object],[object Object],[object Object],[object Object],Solution Speed up low-power patterns
Scan Shift Power Analysis Flow ,[object Object],Simulation Create Saif Power Analysis Testbench Netlist VCD Saif Libraries - Synopsys VCS - Serial Patterns - vcd2saif - Per-Pattern saif - PrimePower - Saif-based flow
Optimal Shift Frequencies Step #1 ,[object Object],SHIFT POWER  <= FUNCTIONAL POWER Pdyn(new) + Plek <= Pfunc Pdyn(new) <= Pfunc - Plek  Pdyn(old) * Fshift(new)  <= (Pfunc – Plek) --------------------------------- Fshift(old)  Max Power  Minimum Shift Frequency Min Power  Maximum Shift Frequency
Optimal Shift Frequencies Step #1 Results ,[object Object],SHIFT POWER  <= FUNCTIONAL POWER 0.01102W * Fmin <= ( 0.032W – 8.3e-4W ) ---------------------- 10MHZ  0.0048W * Fmax <= (0.032W – 8.3e-4W) ---------------------- 10MHZ Fmin = 28MHZ Fmax = 65MHZ
Optimal Shift Frequencies Step #2 ,[object Object],Fmin <= {F1, F2.., Fn} <= Fmax Reduces Complexity! For The Test Design: {28MHZ, 37MHZ, 52MHZ, 63MHZ} {35ns, 27ns, 19ns, 16ns}
Optimal Shift Frequencies Step #3 ,[object Object],Foreach Pattern: Assign Maximum Fi from {F1,F2,..Fn} such that:    Pshift(Fi) <= Pfunc
Optimal Shift Frequencies Step #3 Results ,[object Object],Shift Frequency Allocation (Test Design) TOTAL PATTERNS  701 28MHZ  8 37MHZ  54 52MHZ  477 63MHZ  159
Generation of Patterns ,[object Object],[object Object],[object Object]
Creation of STIL File ,[object Object],[object Object],[object Object],load_unload&quot; { W &quot;_default_WFT_&quot;; C { ...   }   Shift { V { &quot;_clk&quot; = ...; &quot;_si&quot; = #; &quot;_so&quot; = #; } } ... } load_unload&quot; { W &quot;_default_WFT_&quot;; C { ...   }   Shift { W &quot;_SlowShift_WFT_&quot;; V { &quot;_clk&quot; = ...; &quot;_si&quot; = #; &quot;_so&quot; = #; } } ... }
Generation of WGL ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Generation of WGL Example {  pattern 1 parallel_clock basic_scan  } {  load_unload  } vector(&quot;_default_WFT_&quot;) := [ 1 0 0.... ]; scan(&quot;_SlowShift_WFT_&quot;) := [ 1 1 0.... ],  ..... {  pattern 1 parallel_clock basic_scan  } {  load_unload  } vector(&quot;_default_WFT_&quot;) := [ 1 0 0.... ]; scan(&quot;_Shift17ns_WFT_&quot;) := [ 1 1 0.... ],  ..... Change timeplate for scan()
Verilog Testbench ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Generation of SDC ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Results and Analysis Test Design Information ,[object Object],[object Object],[object Object],[object Object]
Results and Analysis Effective Shift Frequency ,[object Object],TOTAL PATTERNS  701 28MHZ  8 37MHZ  54 52MHZ  477 63MHZ  159 Effective Shift Frequency = 53MHZ
Reduction in Test Time Original Patterns @ 28MHZ Scaled Patterns @ 53MHZ Max scan length = 4892 Number of patterns = 701 Shift Period = 35ns Test Time  =~ 0.12 sec Max scan length = 4892 Number of patterns = 701 Shift Period = 19ns Test Time  =~ 0.06 sec Test Time is reduced by ~45%
Reduction in Test Cost Original Patterns @ 28MHZ Scaled Patterns @ 53MHZ Test Time  =~ 0.12 sec Test cost/sec = $0.0076 Shipping Volume = 10Million Total Cost = $91219 Test Time  =~ 0.06 sec Test cost/sec = $0.0076 Shipping Volume = 10Million Total Cost = $49597 Save $41,000 per 10M parts
Post-scaling Power Analysis
Advantages LOWER TEST TIME NO DESIGN CHANGES SCAN ARCHITECTURE INDEPENDENT POST-TAPEOUT/PRE-TAPEOUT
Conclusion ,[object Object],[object Object],[object Object],Multiple Shift Frequencies  for ATPG patterns within the same pattern set To Reduce Test Time without excessive power dissipation Increase Shift Frequency of Patterns with Low Power Dissipation

Mais conteúdo relacionado

Destaque

Space-efficient Approximation Scheme for Maximum Matching in Sparse Graphs
Space-efficient Approximation Scheme for Maximum Matching in Sparse GraphsSpace-efficient Approximation Scheme for Maximum Matching in Sparse Graphs
Space-efficient Approximation Scheme for Maximum Matching in Sparse Graphscseiitgn
 
Bannerman Castle Visitors Center Presentation
Bannerman Castle Visitors Center PresentationBannerman Castle Visitors Center Presentation
Bannerman Castle Visitors Center PresentationJGT238
 
Unbounded Error Communication Complexity of XOR Functions
Unbounded Error Communication Complexity of XOR FunctionsUnbounded Error Communication Complexity of XOR Functions
Unbounded Error Communication Complexity of XOR Functionscseiitgn
 
Adv_Low_Vis_Tech_B_English
Adv_Low_Vis_Tech_B_EnglishAdv_Low_Vis_Tech_B_English
Adv_Low_Vis_Tech_B_EnglishBrian O'Donnell
 
प्रदुषण के
प्रदुषण केप्रदुषण के
प्रदुषण केAbhishek Gupta
 
Mirostory2º
Mirostory2ºMirostory2º
Mirostory2ºbarmonson
 
From Simulation to Online Gaming: the need for adaptive solutions
From Simulation to Online Gaming: the need for adaptive solutions From Simulation to Online Gaming: the need for adaptive solutions
From Simulation to Online Gaming: the need for adaptive solutions Gabriele D'Angelo
 
Scientific publications list Armin Elbers
Scientific publications list Armin ElbersScientific publications list Armin Elbers
Scientific publications list Armin ElbersArmin Elbers
 
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015Fluig
 
Gentle Introduction To Lisp
Gentle Introduction To LispGentle Introduction To Lisp
Gentle Introduction To LispDamien Garaud
 
Appreciative Enquiry : Presented by Sridharan Vembu
Appreciative Enquiry : Presented by Sridharan VembuAppreciative Enquiry : Presented by Sridharan Vembu
Appreciative Enquiry : Presented by Sridharan VembuoGuild .
 
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...Russia Ethiopia comparison in Construction and buildings, suggestions to deve...
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...Ahmad Alshaghel
 
Dissertation Skills Development and training Importance Sample
Dissertation Skills Development and training Importance SampleDissertation Skills Development and training Importance Sample
Dissertation Skills Development and training Importance SampleDissertationFirst
 
BLR EHS Media KIt 2017
BLR EHS Media KIt 2017BLR EHS Media KIt 2017
BLR EHS Media KIt 2017Ryan Vincent
 

Destaque (18)

Space-efficient Approximation Scheme for Maximum Matching in Sparse Graphs
Space-efficient Approximation Scheme for Maximum Matching in Sparse GraphsSpace-efficient Approximation Scheme for Maximum Matching in Sparse Graphs
Space-efficient Approximation Scheme for Maximum Matching in Sparse Graphs
 
Bannerman Castle Visitors Center Presentation
Bannerman Castle Visitors Center PresentationBannerman Castle Visitors Center Presentation
Bannerman Castle Visitors Center Presentation
 
Unbounded Error Communication Complexity of XOR Functions
Unbounded Error Communication Complexity of XOR FunctionsUnbounded Error Communication Complexity of XOR Functions
Unbounded Error Communication Complexity of XOR Functions
 
Wanderley finalizado
Wanderley finalizadoWanderley finalizado
Wanderley finalizado
 
Adv_Low_Vis_Tech_B_English
Adv_Low_Vis_Tech_B_EnglishAdv_Low_Vis_Tech_B_English
Adv_Low_Vis_Tech_B_English
 
प्रदुषण के
प्रदुषण केप्रदुषण के
प्रदुषण के
 
Andhra media mafia 2
Andhra media mafia 2Andhra media mafia 2
Andhra media mafia 2
 
Mirostory2º
Mirostory2ºMirostory2º
Mirostory2º
 
From Simulation to Online Gaming: the need for adaptive solutions
From Simulation to Online Gaming: the need for adaptive solutions From Simulation to Online Gaming: the need for adaptive solutions
From Simulation to Online Gaming: the need for adaptive solutions
 
Scientific publications list Armin Elbers
Scientific publications list Armin ElbersScientific publications list Armin Elbers
Scientific publications list Armin Elbers
 
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015
Futuro do software corporativo padrão - 18ª conferência anual ASUG 2015
 
Gentle Introduction To Lisp
Gentle Introduction To LispGentle Introduction To Lisp
Gentle Introduction To Lisp
 
Appreciative Enquiry : Presented by Sridharan Vembu
Appreciative Enquiry : Presented by Sridharan VembuAppreciative Enquiry : Presented by Sridharan Vembu
Appreciative Enquiry : Presented by Sridharan Vembu
 
Matric Marksheet
Matric MarksheetMatric Marksheet
Matric Marksheet
 
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...Russia Ethiopia comparison in Construction and buildings, suggestions to deve...
Russia Ethiopia comparison in Construction and buildings, suggestions to deve...
 
Dissertation Skills Development and training Importance Sample
Dissertation Skills Development and training Importance SampleDissertation Skills Development and training Importance Sample
Dissertation Skills Development and training Importance Sample
 
descon cretificate
descon  cretificatedescon  cretificate
descon cretificate
 
BLR EHS Media KIt 2017
BLR EHS Media KIt 2017BLR EHS Media KIt 2017
BLR EHS Media KIt 2017
 

Semelhante a Dynamic Shift Frequency Scaling Of ATPG Patterns

LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)
LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)
LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)Tsuyoshi Horigome
 
ATE Testers Overview
ATE Testers OverviewATE Testers Overview
ATE Testers Overviewstn_tkiller
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 finalvrsim
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization FlowSatish Grandhi
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...CatalinGabrielDincan
 
Vapor Combustor Improvement Project LinkedIn Presentation February 2016
Vapor Combustor Improvement Project LinkedIn Presentation February 2016Vapor Combustor Improvement Project LinkedIn Presentation February 2016
Vapor Combustor Improvement Project LinkedIn Presentation February 2016Tim Krimmel, MEM
 
LED電源回路アプリケーションガイド 金沢プレゼン資料
LED電源回路アプリケーションガイド 金沢プレゼン資料LED電源回路アプリケーションガイド 金沢プレゼン資料
LED電源回路アプリケーションガイド 金沢プレゼン資料Tsuyoshi Horigome
 
BKK16-104 sched-freq
BKK16-104 sched-freqBKK16-104 sched-freq
BKK16-104 sched-freqLinaro
 
Algorithm Selection for Preferred Extensions Enumeration
Algorithm Selection for Preferred Extensions EnumerationAlgorithm Selection for Preferred Extensions Enumeration
Algorithm Selection for Preferred Extensions EnumerationFederico Cerutti
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdfFrangoCamila
 
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecases
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecasesLF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecases
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecasesLF_OpenvSwitch
 
Model Predictive Control For Integrating Processes
Model Predictive Control For Integrating ProcessesModel Predictive Control For Integrating Processes
Model Predictive Control For Integrating ProcessesEmerson Exchange
 
Si Intro(100413)
Si Intro(100413)Si Intro(100413)
Si Intro(100413)imsong
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformAnsys
 
Microprocessor based autonomous control system
Microprocessor based autonomous control systemMicroprocessor based autonomous control system
Microprocessor based autonomous control systemDr. Rajesh P Barnwal
 
Power Saving Design Techniques with Low Cost FPGAs
Power Saving Design Techniques  with Low Cost FPGAs Power Saving Design Techniques  with Low Cost FPGAs
Power Saving Design Techniques with Low Cost FPGAs Premier Farnell
 
Debugging Ruby
Debugging RubyDebugging Ruby
Debugging RubyAman Gupta
 

Semelhante a Dynamic Shift Frequency Scaling Of ATPG Patterns (20)

LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)
LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)
LED電源回路アプリケーションガイド 浜松プレゼン資料(浜松プレゼン)
 
ATE Testers Overview
ATE Testers OverviewATE Testers Overview
ATE Testers Overview
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 final
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 Ph.D. Defence on "High power medium voltage dc/dc converter technology for D... Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
Ph.D. Defence on "High power medium voltage dc/dc converter technology for D...
 
Vapor Combustor Improvement Project LinkedIn Presentation February 2016
Vapor Combustor Improvement Project LinkedIn Presentation February 2016Vapor Combustor Improvement Project LinkedIn Presentation February 2016
Vapor Combustor Improvement Project LinkedIn Presentation February 2016
 
LED電源回路アプリケーションガイド 金沢プレゼン資料
LED電源回路アプリケーションガイド 金沢プレゼン資料LED電源回路アプリケーションガイド 金沢プレゼン資料
LED電源回路アプリケーションガイド 金沢プレゼン資料
 
BKK16-104 sched-freq
BKK16-104 sched-freqBKK16-104 sched-freq
BKK16-104 sched-freq
 
5378086.ppt
5378086.ppt5378086.ppt
5378086.ppt
 
Algorithm Selection for Preferred Extensions Enumeration
Algorithm Selection for Preferred Extensions EnumerationAlgorithm Selection for Preferred Extensions Enumeration
Algorithm Selection for Preferred Extensions Enumeration
 
design-compiler.pdf
design-compiler.pdfdesign-compiler.pdf
design-compiler.pdf
 
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecases
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecasesLF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecases
LF_OVS_17_OVS/OVS-DPDK connection tracking for Mobile usecases
 
Model Predictive Control For Integrating Processes
Model Predictive Control For Integrating ProcessesModel Predictive Control For Integrating Processes
Model Predictive Control For Integrating Processes
 
Si Intro(100413)
Si Intro(100413)Si Intro(100413)
Si Intro(100413)
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 
Microprocessor based autonomous control system
Microprocessor based autonomous control systemMicroprocessor based autonomous control system
Microprocessor based autonomous control system
 
rcwire.ppt
rcwire.pptrcwire.ppt
rcwire.ppt
 
Power Saving Design Techniques with Low Cost FPGAs
Power Saving Design Techniques  with Low Cost FPGAs Power Saving Design Techniques  with Low Cost FPGAs
Power Saving Design Techniques with Low Cost FPGAs
 
PLL
PLLPLL
PLL
 
Debugging Ruby
Debugging RubyDebugging Ruby
Debugging Ruby
 

Último

Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
What is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfWhat is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfMounikaPolabathina
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 

Último (20)

Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
What is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfWhat is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdf
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 

Dynamic Shift Frequency Scaling Of ATPG Patterns

  • 1.
  • 2.
  • 3.
  • 4.
  • 5.
  • 6.
  • 7.
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18. Generation of WGL Example { pattern 1 parallel_clock basic_scan } { load_unload } vector(&quot;_default_WFT_&quot;) := [ 1 0 0.... ]; scan(&quot;_SlowShift_WFT_&quot;) := [ 1 1 0.... ], ..... { pattern 1 parallel_clock basic_scan } { load_unload } vector(&quot;_default_WFT_&quot;) := [ 1 0 0.... ]; scan(&quot;_Shift17ns_WFT_&quot;) := [ 1 1 0.... ], ..... Change timeplate for scan()
  • 19.
  • 20.
  • 21.
  • 22.
  • 23. Reduction in Test Time Original Patterns @ 28MHZ Scaled Patterns @ 53MHZ Max scan length = 4892 Number of patterns = 701 Shift Period = 35ns Test Time =~ 0.12 sec Max scan length = 4892 Number of patterns = 701 Shift Period = 19ns Test Time =~ 0.06 sec Test Time is reduced by ~45%
  • 24. Reduction in Test Cost Original Patterns @ 28MHZ Scaled Patterns @ 53MHZ Test Time =~ 0.12 sec Test cost/sec = $0.0076 Shipping Volume = 10Million Total Cost = $91219 Test Time =~ 0.06 sec Test cost/sec = $0.0076 Shipping Volume = 10Million Total Cost = $49597 Save $41,000 per 10M parts
  • 26. Advantages LOWER TEST TIME NO DESIGN CHANGES SCAN ARCHITECTURE INDEPENDENT POST-TAPEOUT/PRE-TAPEOUT
  • 27.