SlideShare uma empresa Scribd logo
1 de 6
Baixar para ler offline
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP)
Volume 2, Issue 1 (Mar. – Apr. 2013), PP 15-20
e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197
www.iosrjournals.org
www.iosrjournals.org 15 | Page
Improving Vmin of Sram by Schmitt-Trigger/Read-Write
Techniques
Surjith.N1
, Mrs. P.Arulmozhi2
, Dr.C.N.Marimuthu3
1
P.G. Student/M.E. VLSI Design, Nandha Engineering College, Erode, Tamilnadu, India
2
Assistant Professor/ECE Dept. Nandha Engineering College, Erode, Tamilnadu, India
3
DEAN, Dept. of ECE, Nandha Engineering College, Erode, Tamilnadu, India
Abstract: In modern Trends, the demand for memory has been increases tremendously. The reduction in SRAM
operating voltage, cell stability and the increase in process variation with process scaling are the main
concerns and can be done by Schmitt-Trigger Techniques. Read and write assist techniques are now commonly
used to lower the minimum operating voltage (Vmin) of an SRAM. This paper presents a proposed 7T, 8T SRAM
cell based on a various read and write assist technique and reduces the total power consumption and not area
overhead of SRAMs while maintaining their performance and compare the output power. Simulation results
with 180nm, 120nm CMOS technology.
Keywords - Low-Voltage SRAM, Process Tolerance, Schmitt-Trigger (ST), Vmin.
I. Introduction
In modern integrated chips, RAM cells occupy a major portion .Now-a-days power dissipation in the
memory chip require that power consumption during the read and write operation must be low. Technology
scaling results in a high density of component but there is a significant increase in leakage current. A minimize
size SRAM cell is highly desirable for increasing the memory integration density. As the integration of
component increases, leakage power is becoming a prime concern in today‘s memory chips. Lower voltages and
smaller devices cause a significant degradation of data stability in cells.
One possible solution to this problem is to design a more robust bitcell topology capable of larger
read and write margins. The downside to this strategy is that adding more transistors to the bitcell increases the
total area of the array [9]. The second strategy is to use various assist methods to make the cell easier to read
and write. This method also results in a smaller area overhead and may require multiple voltage sources [1]. In
this work we will analyze 7T and 8T bitcell topologies and assist methods to determine which is the most
effective at reducing SRAM Vmin. In Section II, we will introduce a variety of sub-threshold bitcell topologies
and explain the pros and cons of each. In Sections III and IV we will present an overview of read and write
assist methods, and explain how each method can be used to improve margins. Section V will present the results
from a test chip, and Section VI will conclude.
In order to resolve the conflicting read versus write design requirements in the conventional 6T bitcell,
we have to apply Schmitt-Trigger principle for the cross coupled inverter pair[10]. A Schmitt Trigger is used to
modulate the switching threshold of an inverter depending on the direction of the input transition. Fig.1. shows
the schematic which reveals the Schmitt-Trigger principle. During 0→1 input transition, the feedback transistor
(NF) tries to preserve the logic ‗1‘ at output (Vout) node by raising the source voltage of pull down NMOS (N1).
This results in higher switching threshold of the inverter with very sharp transfer characteristics. Since a read
failure is initiated by a 0→1 input transition for the inverter storing logic ‗1‘, higher switching threshold with
sharp transfer characteristics give robust read operation.
In Fig.1, during 0 →1 input transition, the feedback transistor (NF) tries to preserve the logic ―1‖ at
output (Vout) node by raising the source voltage of pull down NMOS (N1).
Fig. 1. Schmitt-Trigger Principle
Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques
www.iosrjournals.org 16 | Page
For the 1→0 input transition the feedback mechanism is not present. This results in smooth transfer
characteristics essential for easy write operation. This input dependent sharp/smooth transfer characteristics
improves both read-stability as well as the write-ability by employing Schmitt-Trigger principle in the SRAM
bitcell.
Static random access memory (SRAM) is a critical part of most VLSI system-on-chip (SoC)
applications. The SRAM bit cell design has to cope with stringent requirement on the cell area leading to
minimum (or close to minimum) sized transistors [10]. Due to this scaling trend, device variations and leakages
are increasing sharply with each shrinking technology node. Further, the supply voltage is scaled down to
reduce dynamic and leakage power consumption. The operation of the SRAM at lower supply voltage becomes
even more challenging. The predominant yield loss from increased device variability occurs at minimum
operating voltage, a term defined as Vmin. The failures at Vmin can be due to write failure, read disturb failure,
access failure or retention failure.
Fig. 2. The schematic of 7T dual-Vt SRAM
Usually the SRAM Vmin is limited by write failure or read disturb failure. It is, however, difficult to
predict a priori as to which of the two failure mode dominates because it is dependent upon many factors
including the bit cell architecture, technology node etc. The read disturb problem can be mitigated by adding a
dedicated read port where the bit cell nodes are isolated from the bit-lines. These 8T bit cells are larger in area
but they eliminate the read disturb issue. Solving the issue of write failure, however, is more challenging. Write
failure is defined as the failure to intentionally flip the value of the bit cell during the write operation.
Fig.3. Single Ended 8T bitcell
Various write-assist (WA) schemes have been proposed in literature to help the bit cell to flip during
the write cycle. For the SRAMs in which the Vmin is dictated by write failures, the WA techniques push the Vmin
lower and make it limited by other failure modes, say read disturb failures. In this work we analyze the efficacy
of the leading WA techniques in low power SRAMs in aggressive nanometer technology nodes. Further, we
analyze the impact of supply voltage scaling on the efficacy of the WA techniques. We also investigate the
impact of these WA techniques on the dynamic read noise margins of the half-selected cells (half-selected cells
Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques
www.iosrjournals.org 17 | Page
exist due to column multiplexing and for these cells the word-line is asserted but the bit-lines are not pulled
low). Understanding the impact of technology and voltage scaling on the efficacy of write-assist schemes is
crucial in designing low power SRAMs.
II. 7T and 8T CELL DESIGN
The 7T dual-Vt SRAM cell is presented in this section. The circuit schematic of the SRAM cell with
transistors sized for an 180nm CMOS technology is shown in Fig. 2. The cross-coupled inverters formed by the
transistors N1, P1, N2, and P2 store a single bit of information. The write bitline WBL and the pass transistor
N3 are used for transferring new data into the cell. Alternatively, the read bitline RBL and the transistor stack
formed by N4 and N5 are used for reading data from the cell. Two separate control signals RWL and WWL are
used for controlling the read and the write operations, respectively, with the circuit as shown in Fig. 2. Prior to a
read operation, the RBL is pre-charged to VDD. To start the read operation, the read signal R transitions to VDD
while the write signal W is maintained at VGND. If a ―1‖ is stored at Node1, RBL is discharged through the
transistor stack formed by N4 and N5. Alternatively, if a ―0‖ is stored at Node1 RBL is maintained at VDD. The
storage nodes (Node1 and Node2) are completely isolated from the bitlines during a read operation. The data
stability is thereby significantly enhanced as compared to the standard 6T SRAM cells. The RBL is
conditionally discharged through the N4-N5 stack during a read operation. The transistors of the cross-coupled
inverters are not on the read-delay-path. The transistor sizing of the dual-Vt cross-coupled inverters therefore
does not affect the read speed of the SRAM cell.
In the 8T SRAM, the write and read bits are separated. While bit and bit-bar lines are used for writing
data in the traditional 6T SRAM, only the WBL in Figure 2 is used in the proposed SRAM cell to write for both
―0‖ and ―1‖ data. The writing operation starts by disconnecting the feedback loop of the two inverters. By
setting RWL signal to ―0‖, the feedback loop is disconnected. The data that is going to be written is determined
by the WBL voltage. If the feedback connection is disconnected, SRAM cell has just two cascaded inverters.
WBL transfers the complementary of the input data to Q2, cell data, which drives the other inverter (P2 and N2)
to develop Q_bar. WBL have to be pre-charged "high" before and right after each write operation. When writing
"0" data, negligible writing power is consumed because there is no discharging activity at WBL. To write ‗1‘
data at Q2, The WBL have to be discharged to ground level, just like 6T SRAM cell. In this case, the dynamic
power consumed by the discharging is the same as 6T SRAM. The write circuit does not discharge for every
write operation but discharges only when the cell writes ―1‖ data, and the activity factor of the discharging WBL
is less than "1", which makes the proposed SRAM cell more power effective during writing operation compared
with the conventional ones. All the RBL lines are pre-charged before any READ operation. During read
operation, transistor N5 is turned on by setting RWL signal high and the READ_ROW(RD) is ―high‖ to turn on
N6. When Q2="0", the N4 is off making the RBL voltage not change from the pre-charged value, which means
the cell data Q2 holds ―0‖. On the other hand, If Q2= ―1‖, the transistors N4 and N6 are turned on. In this case,
due to charge sharing, the READ_BIT voltage will be dropped about 100~200mV, which is enough to be
detected in the sense amplifier.
III. Proposed 7t Sram
The schematic of seven transistor (7T) SRAM cell is shown in figure 2. In this section, a new 7-
transistor SRAM cell which have low leakage current in stand-by-mode. The gated-ground 7T cells are deemed
superior in the high performance process, while traditional 6T cells are deemed the best in the low power
process. The 7 cell investigated in the seven transistor (7T) gated ground SRAM cell depicted in fig 3.This cell
has an additional NMOS transistor placed in the ground path of a traditional 6T SRAM cell to reduce leakage
while the cell is in standby mode.
Fig. 4. Schematic of proposed 7T SRAM bitcell
Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques
www.iosrjournals.org 18 | Page
Fig. 5. Schematic of 8T asymmetric ST bitcell
In practice has shown that the cell retains its value during standby even in the absence of a ground
rail. In this study, the bottom transistor‘s gate is connected to the WORD line. The bottom transistor is sized
identically to the inverter NMOS transistor to match their current carrying capacity.
A. Write operation of 7T SRAM cell
To write ―1‖ to the storage node Q, at first, BL and BLB are respectively charged and discharged, WL
is set to low to turn P1 and P2 on. Next WL is maintained at a low voltage to keep N5 off is charged up to high
–level by BLB through p3.when Q is charged sufficiently, set WL to high and turn P1 and P2 off. In contrast, to
write ―0‖ to the node, BL and BLB are discharged are charged respectively. There is no additional power
consumption even if the write and read cycle come alternately, because there is no mismatch between the
voltages level of bit lines in read cycle and that in write cycle.
B. Read operation of 7T SRAM cell
Given that Q stores ―1‖,at first ,WL is set to high to turn P1 and P2 off, next WL is maintained at a
high voltage to keep N5 ON, BL is discharged through N3 and N5.Whereas BLB stay high because N4 insulates
BLB from the GND. The storage nodes Q and QB completely decouple the datum from the BL during a read
operation. In contrast when Q stores ―0‖ as long as turning P1 and P2 off, and turning N5 on, the BLB is
discharged through N4 and N5.The read operation of the proposed SRAM cell is different from that of the 6T
and 4T SRAM cells. In 7T SRAM cell, reading path is separated from writing path.
Fig. 6. Waveforms of 7T bitcell in read and write operations
Reading datum does not interfere the storage nodes. Besides, the voltage of the storage node
which stores ―0‖ is closely maintained at the ground level during a read operation. Therefore, the 7T SRAM cell
has higher endurance against external noise. Fig.6 shows the waveforms of 7T bitcell in read and write
operations.
Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques
www.iosrjournals.org 19 | Page
IV. Proposed 8t Sram (8t St Cell)
The proposed system is an 8T asymmetric Schmitt Trigger bitcell (Figure 5). This bitcell uses single-
ended reading and asymmetric inverters, similar to the asymmetric 5T bitcell into improve read margin. By
using an asymmetrical design, the trip point of the ST inverter is increased, resulting in higher read stability.
Because the 5T bitcell has only one access transistor, write assist methods must be used when trying to write a
‗1‘ into the bitcell. The advantage that this design has over the 5T bitcell is that it is written like a traditional 6T
bitcell, which eliminates the need for write assist methods.
Fig. 7. Waveforms of 8T asymmetric ST bitcell in read and write operations
The WL is pulsed high during both a read and write, and the WWL is only pulsed high during a write. In
simulation bitcell achieves 86% higher RSNM than the 6T cell and 19% higher RSNM than the 10T ST bitcell
with no VT variation added. Fig.7 shows the waveforms of 8T asymmetric ST bitcell in read and write
operations.
V. Circuit Simulation And Results
The simulation results is presented is obtain by T-Spice and process parameter for the 180nm,
120nm CMOS technology using tanner 13.0v. Compared the 7T and 8T with proposed method and measured
the power. The measured average power is obtained in the Table I. The comparison is done by using stick
diagram in Fig. 8.
TABLE I
COMPARISION OF BITCELL IN 180nm
From the circuit results it is clear that the
average power is reduced in the proposed systems
and can improve the Vmin of the SRAM.
Fig. 8. Stick Diagram indicating the Average Power of proposed systems
VI. Conclusion
In this paper we presented 7T and 8T SRAM bitcell topologies are analyzed for achieving low voltage
operation. In terms of power the read and write assist technique, significant improvement compared to proposed
technique. The power of the Vmin is reduced more than 45% and area is not overhead.
Analysis
Existing Method Proposed Method
7T
bitcell
8T
bitcell
7T
bitcell
8T ST
bitcell
Average
Power(watts)
2.2352
e+004
3.1794
e+004
2.0834
e+000
2.0808
e+000
Maximum
Power(watts)
5.0782
e-003
6.3588
e-003
8.8625
e-003
1.0773
e-003
Minimum
Power(watts)
7.1971
e-008
7.8541
e-008
1.6786
e-007
3.6863
e-007
Area
W*L(µm)
14*8.4 15.5*7.9 14*8.4 15.5*7.9
Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques
www.iosrjournals.org 20 | Page
References
[1] Jaydeep P. Kulkarni. Kaushik Roy.: ―Ultralow-Voltage Process-Variation Tolerant Schmitt- Trigger-Based SRAM Design‖, IEEE
Transaction on Very Large Scale Integration (VLSI) Systems, Vol.20, NO.2, Febraury 2012.
[2] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Kobatake, ―A read-static-noise-margin-free SRAM
cell for low-Vdd and high-speed applications,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2005, pp. 478–479.
[3] N. Verma and A. P. Chandrakasan, ―65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy,‖ in Proc. Int. Solid State
Circuits Conf., Feb. 2007, pp. 328–329.
[4] V. Ramadurai, R. Joshi, and R. Kanj, ―A disturb decoupled column select 8T SRAM cell,‖ in Proc. Custom Integr. Circuits Conf.,
Sep. 2007, pp. 25–28.
[5] Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, ―An area-conscious low-voltage-oriented
8T-SRAM design under DVS environment,‖ in Proc. VLSI Circuit Symp., Jun. 2007, pp. 14–16.
[6] M. Grossar, M. Stucchi, K. Maex and W. Dehaene, ―Read Stability and Write-ability analysis of SRAM Cells for Nanometer
Technologies‖, IEEE J. Solid State Circuits, vol.41, no. 11, pp. 2577-2588, Nov. 2006.
[7] Z. Liu and V. Kursun, ―High read stability and low leakage cache memory cell,‖ IEEE Trans. Very Large Scale Integr. (VLSI)
Syst., vol. 16, no. 4, pp. 488–492, Apr. 2008.
[8] S. Lin, Y.-B. Kim, and F. Lombardi, ―A highly-stable nanometer memory for low-power design,‖ in Proc. IEEE Int. Workshop
Design Test of Nano Devices, Circuits Syst., 2008, pp. 17–20.
[9] J. P. Kulkarni, K. Kim, and K. Roy, ―A 160 mV robust Schmitt trigger based subthreshold SRAM,‖ IEEE J. Solid-State Circuits,
vol. 42, no.10, pp. 2303–2313, Oct. 2007.
[10] J. P. Kulkarni, K. Kim, S. Park, and K. Roy, ―Process variation tolerant SRAM array for ultra low voltage applications,‖ in Proc.
Design Autom. Conf. , Jun. 2008, pp. 108–113.
[11] H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, ―Which is the best dual-
port SRAM in 45-nm process technology? – 8T, 10T single end and 10T differential‖, in Proc. IEEE Int. Conf. Integr. Circuit
Design Technol., Jun. 2008, pp. 55-58.
[12] B. H. Calhoun and A. P. Chandrakasan, ―A 256kb subthreshold SRAM in 65nm CMOS‖, in Proc. Int. Solid State Circuits Conf.,
Feb. 2006, pp. 628-629.
[13] R Aly,M. Faisal and A. Bayoumi, ―Novel 7T SRAM cell for low power cache design‖, in Proc. IEEE SOC Conf., 2005, pp. 171-
174.
[14] I. Carlson, S. Andersson, S. Natarajan, and A. Alvandpour, ―A high density, low leakage, 5T SRAM for embedded caches,‖ in
Proc. 30th
Eur.Solid State Circuits Conf/, Sep. 2004, pp. 215-218.
[15] N. Yoshinobu, H. Masahi, K. Takayuki, and K. Ioth, ―Review and future prospects of low-voltage RAM circuits,‖ IBM J. Res.
Devel., vol.47, no.5/6, pp.525-552, 2003.
[16] K. Roy and S. Prasad, ―Low Power CMOS VLSI Circuit Design‖, 1st
ed. New York: Wiley, 2000.
[17] A. Meixner and J. Banik, ―Weak write test mode: An SRAM cell stability design for test technique‖, in Proc. Int. Teat Conf., Nov.
1997, pp. 1043-1052.
[18] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, ―A stable 2-port SRAM cell design against simultaneously
read/writedisturbed accesses,‖ IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109–2119, Sep. 2008.
[19] R. Aly, M. Faisal and A. Bayoumi, ―Novel 7T SRAM cell for low power cache design‖, in Proc. IEEE SOC Conf., 2005, pp. 171-
174.
[20] D. W. Plass and Y. H. Chan, ―IBM POWER6 SRAM arrays,‖ IBM J. Res. Devel., vol. 51, no. 6, pp. 747–756, Nov. 2007.
[21] H. Pilo, G. Braceras, S. Hall, S. Lamphier, M. Miller, A. Roberts, and R.Wistort, ―A 0.9 ns random cycle 36 Mb network SRAM
with 33mW standby power,‖ in Proc. VLSI Circuit Symp., 2004, pp. 284–287.
[22] Y. Ye, M. Khellah, D. Somasekhar, and V. De, ―Evaluation of differential versus single-ended sensing and asymmetric cells in 90
nm logic technology for on-chip caches,‖ in Proc. Int. Symp. Circuits Syst., 2006, pp. 963–966.
[23] S. Mukhopadhyay, K. Kim, H. Mahmoodi, and K. Roy, ―Design of a process variation tolerant self-repairing SRAM for yield
enhancement in nano scaled CMOS,‖ IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1370–1382, Jun. 2007.
[24] C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu, ―Trading off cache capacity for reliability to enable
low voltage operation,‖ in Proc. 35th Int. Symp. Computer Architecture (ISCA), Jun. 2008, pp. 203–214.
[25] F. Boeuf et al., ―0.248 _m and 0.334 _m conventional bulk 6T-SRAM bit -cells for 45 nm node low cost—general purpose
applications,‖ in Proc. VLSI Technol. Symp., 2005, pp. 130–131.
[26] L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W.
Haensch, K. Hosokawa, and D. Jamsek, ―A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65 nm CMOS,‖ in Proc. VLSI
Circuit Symp., Jun. 2007, pp. 252–253.
[27] H. Pilo, V. Ramadurai, G. Braceras, J. Gabric, S. Lamphier, and Y. Tan, ―A 450 ps access-time SRAM macro in 45 nm SOI
featuring a two-stage sensing-scheme and dynamic power management,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2008, pp.
378–379.
[28] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, ―A SRAM design
on 65 nm CMOS technology with integrated leakage reduction scheme,‖ in Proc. VLSI Circuit Symp., 2004, pp. 294–295.
[29] F. Arnaud et al., ―A functional 0.69 _m embedded 6T-SRAM bit cell for 65 nm CMOS platform,‖ in Proc. VLSI Technol. Symp.,
2003, pp. 65–66.
[30] B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, ―A sub-200 mV 6 T SRAM in 0.13 µm CMOS,‖ in Proc. Int. Solid State Circuits
Conf., Feb. 2007, pp. 332–333.
[31] S. Tawfik and V. Kursun, ―Low power and robust 7T dual-Vt SRAM circuit,‖ in Proc. Int. Symp. Circuits Syst., 2008, pp. 1452–
1455.
[32] M. M. Khellah, A. Keshavarzi, D. Somasekhar, T. Karnik, and V. De, ―Read and write circuit assist techniques for improving
VCCmin of dense 6T SRAM cell,‖ in Proc. Int. Conf. Integr. Circuit Design Technol., Jun. 2008, pp. 185–189.
[33] K. Noda, K. Matsui, K. Takeda, and N. Nakamura, ―A loadless CMOS four-transistorSRAMcell in a 0.18-µm logic technology,‖
IEEE Trans. Electron Devices, vol. 12, no. 12, pp. 2851–2855, Dec. 2001.
[34] A. Meixner and J. Banik, ―Weak write test mode: An SRAM cell stability design for test technique,‖ in Proc. Int. Test Conf., Nov.
1997, pp. 1043–1052.

Mais conteúdo relacionado

Mais procurados

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuitschitrarengasamy
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifierSoumyajit Langal
 

Mais procurados (18)

SRAM
SRAMSRAM
SRAM
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
DRAM
DRAMDRAM
DRAM
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
SRAM DRAM
SRAM DRAMSRAM DRAM
SRAM DRAM
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Sram technology
Sram technologySram technology
Sram technology
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuits
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
SRAM read and write and sense amplifier
SRAM read and write and sense amplifierSRAM read and write and sense amplifier
SRAM read and write and sense amplifier
 
SRAM
SRAMSRAM
SRAM
 
Lecture14
Lecture14Lecture14
Lecture14
 

Destaque

“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015musthafa01
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyIDES Editor
 
Simulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish AlgorithmSimulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish Algorithmiosrjce
 
An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...iosrjce
 

Destaque (7)

“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015Latest VLSI Project Titles 2015
Latest VLSI Project Titles 2015
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using Redundancy
 
ME-Resume
ME-ResumeME-Resume
ME-Resume
 
Ramakrishnan_M
Ramakrishnan_MRamakrishnan_M
Ramakrishnan_M
 
Simulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish AlgorithmSimulated Analysis and Enhancement of Blowfish Algorithm
Simulated Analysis and Enhancement of Blowfish Algorithm
 
An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...
 

Semelhante a C0211520

SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tooleSAT Publishing House
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...jedt_journal
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET Journal
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 

Semelhante a C0211520 (20)

Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Power analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner toolPower analysis of 4 t sram by stacking technique using tanner tool
Power analysis of 4 t sram by stacking technique using tanner tool
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
B0540714
B0540714B0540714
B0540714
 
Df33642645
Df33642645Df33642645
Df33642645
 

Mais de IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Último

Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersRaghuram Pandurangan
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentPim van der Noll
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterMydbops
 
Manual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditManual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditSkynet Technologies
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationKnoldus Inc.
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .Alan Dix
 
Generative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfGenerative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfIngrid Airi González
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesThousandEyes
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Mark Goldstein
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersNicole Novielli
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsRavi Sanghani
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Strongerpanagenda
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demoHarshalMandlekar2
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesKari Kakkonen
 

Último (20)

Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information Developers
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL Router
 
Manual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditManual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance Audit
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog Presentation
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .
 
Generative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfGenerative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdf
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
 
A Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software DevelopersA Journey Into the Emotions of Software Developers
A Journey Into the Emotions of Software Developers
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and Insights
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demo
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examples
 

C0211520

  • 1. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 2, Issue 1 (Mar. – Apr. 2013), PP 15-20 e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197 www.iosrjournals.org www.iosrjournals.org 15 | Page Improving Vmin of Sram by Schmitt-Trigger/Read-Write Techniques Surjith.N1 , Mrs. P.Arulmozhi2 , Dr.C.N.Marimuthu3 1 P.G. Student/M.E. VLSI Design, Nandha Engineering College, Erode, Tamilnadu, India 2 Assistant Professor/ECE Dept. Nandha Engineering College, Erode, Tamilnadu, India 3 DEAN, Dept. of ECE, Nandha Engineering College, Erode, Tamilnadu, India Abstract: In modern Trends, the demand for memory has been increases tremendously. The reduction in SRAM operating voltage, cell stability and the increase in process variation with process scaling are the main concerns and can be done by Schmitt-Trigger Techniques. Read and write assist techniques are now commonly used to lower the minimum operating voltage (Vmin) of an SRAM. This paper presents a proposed 7T, 8T SRAM cell based on a various read and write assist technique and reduces the total power consumption and not area overhead of SRAMs while maintaining their performance and compare the output power. Simulation results with 180nm, 120nm CMOS technology. Keywords - Low-Voltage SRAM, Process Tolerance, Schmitt-Trigger (ST), Vmin. I. Introduction In modern integrated chips, RAM cells occupy a major portion .Now-a-days power dissipation in the memory chip require that power consumption during the read and write operation must be low. Technology scaling results in a high density of component but there is a significant increase in leakage current. A minimize size SRAM cell is highly desirable for increasing the memory integration density. As the integration of component increases, leakage power is becoming a prime concern in today‘s memory chips. Lower voltages and smaller devices cause a significant degradation of data stability in cells. One possible solution to this problem is to design a more robust bitcell topology capable of larger read and write margins. The downside to this strategy is that adding more transistors to the bitcell increases the total area of the array [9]. The second strategy is to use various assist methods to make the cell easier to read and write. This method also results in a smaller area overhead and may require multiple voltage sources [1]. In this work we will analyze 7T and 8T bitcell topologies and assist methods to determine which is the most effective at reducing SRAM Vmin. In Section II, we will introduce a variety of sub-threshold bitcell topologies and explain the pros and cons of each. In Sections III and IV we will present an overview of read and write assist methods, and explain how each method can be used to improve margins. Section V will present the results from a test chip, and Section VI will conclude. In order to resolve the conflicting read versus write design requirements in the conventional 6T bitcell, we have to apply Schmitt-Trigger principle for the cross coupled inverter pair[10]. A Schmitt Trigger is used to modulate the switching threshold of an inverter depending on the direction of the input transition. Fig.1. shows the schematic which reveals the Schmitt-Trigger principle. During 0→1 input transition, the feedback transistor (NF) tries to preserve the logic ‗1‘ at output (Vout) node by raising the source voltage of pull down NMOS (N1). This results in higher switching threshold of the inverter with very sharp transfer characteristics. Since a read failure is initiated by a 0→1 input transition for the inverter storing logic ‗1‘, higher switching threshold with sharp transfer characteristics give robust read operation. In Fig.1, during 0 →1 input transition, the feedback transistor (NF) tries to preserve the logic ―1‖ at output (Vout) node by raising the source voltage of pull down NMOS (N1). Fig. 1. Schmitt-Trigger Principle
  • 2. Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques www.iosrjournals.org 16 | Page For the 1→0 input transition the feedback mechanism is not present. This results in smooth transfer characteristics essential for easy write operation. This input dependent sharp/smooth transfer characteristics improves both read-stability as well as the write-ability by employing Schmitt-Trigger principle in the SRAM bitcell. Static random access memory (SRAM) is a critical part of most VLSI system-on-chip (SoC) applications. The SRAM bit cell design has to cope with stringent requirement on the cell area leading to minimum (or close to minimum) sized transistors [10]. Due to this scaling trend, device variations and leakages are increasing sharply with each shrinking technology node. Further, the supply voltage is scaled down to reduce dynamic and leakage power consumption. The operation of the SRAM at lower supply voltage becomes even more challenging. The predominant yield loss from increased device variability occurs at minimum operating voltage, a term defined as Vmin. The failures at Vmin can be due to write failure, read disturb failure, access failure or retention failure. Fig. 2. The schematic of 7T dual-Vt SRAM Usually the SRAM Vmin is limited by write failure or read disturb failure. It is, however, difficult to predict a priori as to which of the two failure mode dominates because it is dependent upon many factors including the bit cell architecture, technology node etc. The read disturb problem can be mitigated by adding a dedicated read port where the bit cell nodes are isolated from the bit-lines. These 8T bit cells are larger in area but they eliminate the read disturb issue. Solving the issue of write failure, however, is more challenging. Write failure is defined as the failure to intentionally flip the value of the bit cell during the write operation. Fig.3. Single Ended 8T bitcell Various write-assist (WA) schemes have been proposed in literature to help the bit cell to flip during the write cycle. For the SRAMs in which the Vmin is dictated by write failures, the WA techniques push the Vmin lower and make it limited by other failure modes, say read disturb failures. In this work we analyze the efficacy of the leading WA techniques in low power SRAMs in aggressive nanometer technology nodes. Further, we analyze the impact of supply voltage scaling on the efficacy of the WA techniques. We also investigate the impact of these WA techniques on the dynamic read noise margins of the half-selected cells (half-selected cells
  • 3. Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques www.iosrjournals.org 17 | Page exist due to column multiplexing and for these cells the word-line is asserted but the bit-lines are not pulled low). Understanding the impact of technology and voltage scaling on the efficacy of write-assist schemes is crucial in designing low power SRAMs. II. 7T and 8T CELL DESIGN The 7T dual-Vt SRAM cell is presented in this section. The circuit schematic of the SRAM cell with transistors sized for an 180nm CMOS technology is shown in Fig. 2. The cross-coupled inverters formed by the transistors N1, P1, N2, and P2 store a single bit of information. The write bitline WBL and the pass transistor N3 are used for transferring new data into the cell. Alternatively, the read bitline RBL and the transistor stack formed by N4 and N5 are used for reading data from the cell. Two separate control signals RWL and WWL are used for controlling the read and the write operations, respectively, with the circuit as shown in Fig. 2. Prior to a read operation, the RBL is pre-charged to VDD. To start the read operation, the read signal R transitions to VDD while the write signal W is maintained at VGND. If a ―1‖ is stored at Node1, RBL is discharged through the transistor stack formed by N4 and N5. Alternatively, if a ―0‖ is stored at Node1 RBL is maintained at VDD. The storage nodes (Node1 and Node2) are completely isolated from the bitlines during a read operation. The data stability is thereby significantly enhanced as compared to the standard 6T SRAM cells. The RBL is conditionally discharged through the N4-N5 stack during a read operation. The transistors of the cross-coupled inverters are not on the read-delay-path. The transistor sizing of the dual-Vt cross-coupled inverters therefore does not affect the read speed of the SRAM cell. In the 8T SRAM, the write and read bits are separated. While bit and bit-bar lines are used for writing data in the traditional 6T SRAM, only the WBL in Figure 2 is used in the proposed SRAM cell to write for both ―0‖ and ―1‖ data. The writing operation starts by disconnecting the feedback loop of the two inverters. By setting RWL signal to ―0‖, the feedback loop is disconnected. The data that is going to be written is determined by the WBL voltage. If the feedback connection is disconnected, SRAM cell has just two cascaded inverters. WBL transfers the complementary of the input data to Q2, cell data, which drives the other inverter (P2 and N2) to develop Q_bar. WBL have to be pre-charged "high" before and right after each write operation. When writing "0" data, negligible writing power is consumed because there is no discharging activity at WBL. To write ‗1‘ data at Q2, The WBL have to be discharged to ground level, just like 6T SRAM cell. In this case, the dynamic power consumed by the discharging is the same as 6T SRAM. The write circuit does not discharge for every write operation but discharges only when the cell writes ―1‖ data, and the activity factor of the discharging WBL is less than "1", which makes the proposed SRAM cell more power effective during writing operation compared with the conventional ones. All the RBL lines are pre-charged before any READ operation. During read operation, transistor N5 is turned on by setting RWL signal high and the READ_ROW(RD) is ―high‖ to turn on N6. When Q2="0", the N4 is off making the RBL voltage not change from the pre-charged value, which means the cell data Q2 holds ―0‖. On the other hand, If Q2= ―1‖, the transistors N4 and N6 are turned on. In this case, due to charge sharing, the READ_BIT voltage will be dropped about 100~200mV, which is enough to be detected in the sense amplifier. III. Proposed 7t Sram The schematic of seven transistor (7T) SRAM cell is shown in figure 2. In this section, a new 7- transistor SRAM cell which have low leakage current in stand-by-mode. The gated-ground 7T cells are deemed superior in the high performance process, while traditional 6T cells are deemed the best in the low power process. The 7 cell investigated in the seven transistor (7T) gated ground SRAM cell depicted in fig 3.This cell has an additional NMOS transistor placed in the ground path of a traditional 6T SRAM cell to reduce leakage while the cell is in standby mode. Fig. 4. Schematic of proposed 7T SRAM bitcell
  • 4. Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques www.iosrjournals.org 18 | Page Fig. 5. Schematic of 8T asymmetric ST bitcell In practice has shown that the cell retains its value during standby even in the absence of a ground rail. In this study, the bottom transistor‘s gate is connected to the WORD line. The bottom transistor is sized identically to the inverter NMOS transistor to match their current carrying capacity. A. Write operation of 7T SRAM cell To write ―1‖ to the storage node Q, at first, BL and BLB are respectively charged and discharged, WL is set to low to turn P1 and P2 on. Next WL is maintained at a low voltage to keep N5 off is charged up to high –level by BLB through p3.when Q is charged sufficiently, set WL to high and turn P1 and P2 off. In contrast, to write ―0‖ to the node, BL and BLB are discharged are charged respectively. There is no additional power consumption even if the write and read cycle come alternately, because there is no mismatch between the voltages level of bit lines in read cycle and that in write cycle. B. Read operation of 7T SRAM cell Given that Q stores ―1‖,at first ,WL is set to high to turn P1 and P2 off, next WL is maintained at a high voltage to keep N5 ON, BL is discharged through N3 and N5.Whereas BLB stay high because N4 insulates BLB from the GND. The storage nodes Q and QB completely decouple the datum from the BL during a read operation. In contrast when Q stores ―0‖ as long as turning P1 and P2 off, and turning N5 on, the BLB is discharged through N4 and N5.The read operation of the proposed SRAM cell is different from that of the 6T and 4T SRAM cells. In 7T SRAM cell, reading path is separated from writing path. Fig. 6. Waveforms of 7T bitcell in read and write operations Reading datum does not interfere the storage nodes. Besides, the voltage of the storage node which stores ―0‖ is closely maintained at the ground level during a read operation. Therefore, the 7T SRAM cell has higher endurance against external noise. Fig.6 shows the waveforms of 7T bitcell in read and write operations.
  • 5. Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques www.iosrjournals.org 19 | Page IV. Proposed 8t Sram (8t St Cell) The proposed system is an 8T asymmetric Schmitt Trigger bitcell (Figure 5). This bitcell uses single- ended reading and asymmetric inverters, similar to the asymmetric 5T bitcell into improve read margin. By using an asymmetrical design, the trip point of the ST inverter is increased, resulting in higher read stability. Because the 5T bitcell has only one access transistor, write assist methods must be used when trying to write a ‗1‘ into the bitcell. The advantage that this design has over the 5T bitcell is that it is written like a traditional 6T bitcell, which eliminates the need for write assist methods. Fig. 7. Waveforms of 8T asymmetric ST bitcell in read and write operations The WL is pulsed high during both a read and write, and the WWL is only pulsed high during a write. In simulation bitcell achieves 86% higher RSNM than the 6T cell and 19% higher RSNM than the 10T ST bitcell with no VT variation added. Fig.7 shows the waveforms of 8T asymmetric ST bitcell in read and write operations. V. Circuit Simulation And Results The simulation results is presented is obtain by T-Spice and process parameter for the 180nm, 120nm CMOS technology using tanner 13.0v. Compared the 7T and 8T with proposed method and measured the power. The measured average power is obtained in the Table I. The comparison is done by using stick diagram in Fig. 8. TABLE I COMPARISION OF BITCELL IN 180nm From the circuit results it is clear that the average power is reduced in the proposed systems and can improve the Vmin of the SRAM. Fig. 8. Stick Diagram indicating the Average Power of proposed systems VI. Conclusion In this paper we presented 7T and 8T SRAM bitcell topologies are analyzed for achieving low voltage operation. In terms of power the read and write assist technique, significant improvement compared to proposed technique. The power of the Vmin is reduced more than 45% and area is not overhead. Analysis Existing Method Proposed Method 7T bitcell 8T bitcell 7T bitcell 8T ST bitcell Average Power(watts) 2.2352 e+004 3.1794 e+004 2.0834 e+000 2.0808 e+000 Maximum Power(watts) 5.0782 e-003 6.3588 e-003 8.8625 e-003 1.0773 e-003 Minimum Power(watts) 7.1971 e-008 7.8541 e-008 1.6786 e-007 3.6863 e-007 Area W*L(µm) 14*8.4 15.5*7.9 14*8.4 15.5*7.9
  • 6. Improving Vmin Of Sram By Schmitt-Trigger/Read-Write Techniques www.iosrjournals.org 20 | Page References [1] Jaydeep P. Kulkarni. Kaushik Roy.: ―Ultralow-Voltage Process-Variation Tolerant Schmitt- Trigger-Based SRAM Design‖, IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol.20, NO.2, Febraury 2012. [2] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Kobatake, ―A read-static-noise-margin-free SRAM cell for low-Vdd and high-speed applications,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2005, pp. 478–479. [3] N. Verma and A. P. Chandrakasan, ―65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2007, pp. 328–329. [4] V. Ramadurai, R. Joshi, and R. Kanj, ―A disturb decoupled column select 8T SRAM cell,‖ in Proc. Custom Integr. Circuits Conf., Sep. 2007, pp. 25–28. [5] Y. Morita, H. Fujiwara, H. Noguchi, Y. Iguchi, K. Nii, H. Kawaguchi, and M. Yoshimoto, ―An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment,‖ in Proc. VLSI Circuit Symp., Jun. 2007, pp. 14–16. [6] M. Grossar, M. Stucchi, K. Maex and W. Dehaene, ―Read Stability and Write-ability analysis of SRAM Cells for Nanometer Technologies‖, IEEE J. Solid State Circuits, vol.41, no. 11, pp. 2577-2588, Nov. 2006. [7] Z. Liu and V. Kursun, ―High read stability and low leakage cache memory cell,‖ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 4, pp. 488–492, Apr. 2008. [8] S. Lin, Y.-B. Kim, and F. Lombardi, ―A highly-stable nanometer memory for low-power design,‖ in Proc. IEEE Int. Workshop Design Test of Nano Devices, Circuits Syst., 2008, pp. 17–20. [9] J. P. Kulkarni, K. Kim, and K. Roy, ―A 160 mV robust Schmitt trigger based subthreshold SRAM,‖ IEEE J. Solid-State Circuits, vol. 42, no.10, pp. 2303–2313, Oct. 2007. [10] J. P. Kulkarni, K. Kim, S. Park, and K. Roy, ―Process variation tolerant SRAM array for ultra low voltage applications,‖ in Proc. Design Autom. Conf. , Jun. 2008, pp. 108–113. [11] H. Noguchi, S. Okumura, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, ―Which is the best dual- port SRAM in 45-nm process technology? – 8T, 10T single end and 10T differential‖, in Proc. IEEE Int. Conf. Integr. Circuit Design Technol., Jun. 2008, pp. 55-58. [12] B. H. Calhoun and A. P. Chandrakasan, ―A 256kb subthreshold SRAM in 65nm CMOS‖, in Proc. Int. Solid State Circuits Conf., Feb. 2006, pp. 628-629. [13] R Aly,M. Faisal and A. Bayoumi, ―Novel 7T SRAM cell for low power cache design‖, in Proc. IEEE SOC Conf., 2005, pp. 171- 174. [14] I. Carlson, S. Andersson, S. Natarajan, and A. Alvandpour, ―A high density, low leakage, 5T SRAM for embedded caches,‖ in Proc. 30th Eur.Solid State Circuits Conf/, Sep. 2004, pp. 215-218. [15] N. Yoshinobu, H. Masahi, K. Takayuki, and K. Ioth, ―Review and future prospects of low-voltage RAM circuits,‖ IBM J. Res. Devel., vol.47, no.5/6, pp.525-552, 2003. [16] K. Roy and S. Prasad, ―Low Power CMOS VLSI Circuit Design‖, 1st ed. New York: Wiley, 2000. [17] A. Meixner and J. Banik, ―Weak write test mode: An SRAM cell stability design for test technique‖, in Proc. Int. Teat Conf., Nov. 1997, pp. 1043-1052. [18] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, ―A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses,‖ IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109–2119, Sep. 2008. [19] R. Aly, M. Faisal and A. Bayoumi, ―Novel 7T SRAM cell for low power cache design‖, in Proc. IEEE SOC Conf., 2005, pp. 171- 174. [20] D. W. Plass and Y. H. Chan, ―IBM POWER6 SRAM arrays,‖ IBM J. Res. Devel., vol. 51, no. 6, pp. 747–756, Nov. 2007. [21] H. Pilo, G. Braceras, S. Hall, S. Lamphier, M. Miller, A. Roberts, and R.Wistort, ―A 0.9 ns random cycle 36 Mb network SRAM with 33mW standby power,‖ in Proc. VLSI Circuit Symp., 2004, pp. 284–287. [22] Y. Ye, M. Khellah, D. Somasekhar, and V. De, ―Evaluation of differential versus single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip caches,‖ in Proc. Int. Symp. Circuits Syst., 2006, pp. 963–966. [23] S. Mukhopadhyay, K. Kim, H. Mahmoodi, and K. Roy, ―Design of a process variation tolerant self-repairing SRAM for yield enhancement in nano scaled CMOS,‖ IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1370–1382, Jun. 2007. [24] C. Wilkerson, H. Gao, A. R. Alameldeen, Z. Chishti, M. Khellah, and S.-L. Lu, ―Trading off cache capacity for reliability to enable low voltage operation,‖ in Proc. 35th Int. Symp. Computer Architecture (ISCA), Jun. 2008, pp. 203–214. [25] F. Boeuf et al., ―0.248 _m and 0.334 _m conventional bulk 6T-SRAM bit -cells for 45 nm node low cost—general purpose applications,‖ in Proc. VLSI Technol. Symp., 2005, pp. 130–131. [26] L. Chang, Y. Nakamura, R. K. Montoye, J. Sawada, A. K. Martin, K. Kinoshita, F. H. Gebara, K. B. Agarwal, D. J. Acharyya, W. Haensch, K. Hosokawa, and D. Jamsek, ―A 5.3 GHz 8T-SRAM with operation down to 0.41 V in 65 nm CMOS,‖ in Proc. VLSI Circuit Symp., Jun. 2007, pp. 252–253. [27] H. Pilo, V. Ramadurai, G. Braceras, J. Gabric, S. Lamphier, and Y. Tan, ―A 450 ps access-time SRAM macro in 45 nm SOI featuring a two-stage sensing-scheme and dynamic power management,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2008, pp. 378–379. [28] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, ―A SRAM design on 65 nm CMOS technology with integrated leakage reduction scheme,‖ in Proc. VLSI Circuit Symp., 2004, pp. 294–295. [29] F. Arnaud et al., ―A functional 0.69 _m embedded 6T-SRAM bit cell for 65 nm CMOS platform,‖ in Proc. VLSI Technol. Symp., 2003, pp. 65–66. [30] B. Zhai, D. Blaauw, D. Sylvester, and S. Hanson, ―A sub-200 mV 6 T SRAM in 0.13 µm CMOS,‖ in Proc. Int. Solid State Circuits Conf., Feb. 2007, pp. 332–333. [31] S. Tawfik and V. Kursun, ―Low power and robust 7T dual-Vt SRAM circuit,‖ in Proc. Int. Symp. Circuits Syst., 2008, pp. 1452– 1455. [32] M. M. Khellah, A. Keshavarzi, D. Somasekhar, T. Karnik, and V. De, ―Read and write circuit assist techniques for improving VCCmin of dense 6T SRAM cell,‖ in Proc. Int. Conf. Integr. Circuit Design Technol., Jun. 2008, pp. 185–189. [33] K. Noda, K. Matsui, K. Takeda, and N. Nakamura, ―A loadless CMOS four-transistorSRAMcell in a 0.18-µm logic technology,‖ IEEE Trans. Electron Devices, vol. 12, no. 12, pp. 2851–2855, Dec. 2001. [34] A. Meixner and J. Banik, ―Weak write test mode: An SRAM cell stability design for test technique,‖ in Proc. Int. Test Conf., Nov. 1997, pp. 1043–1052.