SlideShare uma empresa Scribd logo
1 de 70
Air Force Research Laboratory
Integrity  Service  Excellence
Charles Patrick Collier
Senior Electrical Research Engineer
AFRL Space Vehicles
Air Force Research Laboratory
06/28/2016
NGSIS SpaceVPX and
SpaceVPXLite
Distribution Statement A: Approved for Public Release
2
Bottom Line Up Front (BLUF)
What is wrong with just using legacy space electronics?
• Legacy space systems are often point solutions
• Re-use is not a priority
• Don’t have the full range of redundancy options (dual-string, M-
of-N, etc.) built-in given the particular application and its needs.
• Internal interfaces are often proprietary and application specific
• Modules are not designed to inter-operate at either hardware
or software level
Distribution Statement A: Approved for Public Release
3
ANSI/VITA 1 VME64 includes 32
and 64-bit address;
P1 and P2 connectors expanded
from 96 to 100 pins each
ANSI/VITA 41.0 VXS adds new P0
connector to support high baud
rates of Packet Switch fabrics such
as SRIO. VME’s P1 and P2
retained.
ANSI/VITA 5 – 1995 RACEway
Interlink first of the Switched
Fabrics standardized by VITA
Circuit switched, parallel signaling
as oppose to serial – superseded
by ANSI/VITA 5.1 1999 (S2011)
ANSI/VITA 46.0-2007 VPX provide
many more high baud rate pins at
the expense of backward
compatibility.
ANSI/VITA 66.0-2011 adds blind
mate optical connectors to VPX
ANSI/VITA 67.0-2012 adds blind
mate coax connectors to VPX
ANSI/VITA 46.0-2007 (R2013) VPX
revision taking input from VITA 65
Working Group
ANSI/VITA 65-2010 (R2012)
second release of OpenVPX
ANSI/VITA 78-2015 SpaceVPX
specification is released that
provides a Space specific VPX
version for Space applications.
VITA 78.1 – SpaceVPXLite 3U
version of SpaceVPX is
underdevelopment for SWaP
constrained Space applications –
a release is expected in 2016.
ANSI/VITA 65-2010 OpenVPX
brings system perspective to VPX
Timeline VME, VXS, VPX, OpenVPX,
SpaceVPX, and SpaceVPXLite
Distribution Statement A: Approved for Public Release
4
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
5
What are SpaceVPX’ s Goals
Created to bridge the VPX standards to the space market.
• SpaceVPX addresses both interoperability (as OpenVPX does) and
space application needs (not in OpenVPX).
• SpaceVPX defines Payload, Switch, Controller, and Backplane
module profiles to meet needs of space applications
• SpaceVPX adds features to the Utility Plane for fault tolerance
• Point-to-point not bussed to tolerate faults: failure on module does not affect
entire system.
• Space Utility Module added to provide dual-redundant source for Utility Plane
implementations.
• SpaceVPX defines use of SpaceWire for Control Plane over
Ethernet (OpenVPX preferred solution).
Distribution Statement A: Approved for Public Release
6
What is SpaceVPX?
Develop
• Enhanced set of backplane specifications that are based
upon existing commercial standards with added features
required for space applications.
Increase
• Interoperability and compatibility between manufacturers
and integrators, while simultaneously increasing affordability
through the use of standard sets of hardware.
Distribution Statement A: Approved for Public Release
7
VITA 65, RIO ver.2.0
Fault Tolerance enhancements
VITA 46.3
SRIO on VPX
VITA 46.9
PMC/XMC
VITA 46.11
Sys. Management
VITA 48.2
Conduction
SpaceVPX (VITA 78)
SpaceVPXLite (VITA 78.1)
What is in SpaceVPX?
SpaceVPX/SpaceVPXLite
allows a user to configure a system
from board level products purchased
from different vendors - thus the
focus on interoperability.
Distribution Statement A: Approved for Public Release
8
SpaceVPX Building Blocks
Payloadinput
Processingcard
Processingcard
Switchcard
Memorycard
Outputcard
Powercard
Payloadinput
Payloadinput
Payloadinput
Processingcard
Processingcard
Switchcard
Memorycard
Outputcard
Powercard
Payloadinput
Payloadinput
Payloadinput
Processingcard
Processingcard
Switchcard
Memorycard
Outputcard
Powercard
Payloadinput
Payloadinput
SATCOM System
VPX
1
VPX
2
VPX
3
VPX
7
VPX
8
VPX
9
VPX
4
VPX
6
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
IPMC IPMC IPMC IPMC IPMC IPMC
Contrl
Switch
Contrl
Switch
Power A and B
Switched
Management
Plane (IPMB)
Control Plane
(TP)
Data Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload slotsPayload slots
Switched
Utility Plane
Includes power
UM
5
Controller
Selection
A and B (HLD)
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
SW
ChMC ChMC
TP
TP
ControlSlot
ControlSlot
SpaecUM
Slots
Distribution Statement A: Approved for Public Release
9
Simplified SpaceVPX
Development Flow
• Determine application requirements
• Size, weight and power
• Processing, fabric and I/O requirements
• Select overall system parameters
• 3U or 6U?
• Switching topology?
• Number and type of slots?
• Assemble development vehicle
• COTS development chassis
• COTS boards
• COTS or custom RTMs
• Design deployment system
• Typically custom backplane
• Typically route I/O signals to custom
I/O slot or bulkhead connector
Distribution Statement A: Approved for Public Release
10
Who is Involved in SpaceVPX?
Distribution Statement A: Approved for Public Release
11
Who is Involved in the RapidIO
Space Device Class?
Distribution Statement A: Approved for Public Release
12
Outline
• What is SpaceVPX?
• SpaceVPX Base Use Case and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
13
Basic SpaceVPX Payload
Use Case
Distribution Statement A: Approved for Public Release
14
Data Plane Switch Topology
Full Mesh Topology
Dual-Star Topology
using two Switch Slots
Dual-Star Topology
with PCI Expansion
PCI Expansion
Distribution Statement A: Approved for Public Release
15
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
16
Profiles in SpaceVPX
A physical mapping of ports onto a slot’s backplane connectors
A physical specification of a backplane
Extends a slot profile by mapping protocols to a module’s ports
VPX
1
VPX
2
VPX
3
VPX
7
VPX
8
VPX
9
VPX
4
VPX
6
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
IPMC IPMC IPMC IPMC IPMC IPMC
Contrl
Switch
Contrl
Switch
Power A and B
Switched
Management
Plane (IPMB)
Control Plane
(TP)
Data Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload slotsPayload slots
Switched
Utility Plane
Includes power
UM
5
Controller
Selection
A and B (HLD)
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
SW
ChMC ChMC
TP
TP
ControlSlot
ControlSlot
SpaecUM
Slots
Slot Profile
Backplane Profile
Profile
Name
Data Plane
4 FP
Expansio
n Plane
P2/J2
Control
Plane 2
TP
User
Defined
DP01 to
DP04
CPtp01
to
CPtp02
P3/J3,
P5/J5
MOD6-PAY-
4F1Q2T-
12.2.1-1-cc
sRIO 2.2 at
3.125
Gbaud per
Section 5.2
sRIO 2.1
at 3.125
Gbaud
per
Section
5.2
SpaceW
ire per
Section
5.2.1
User
Defined
DIFF
pins
Module Profile
Also: Power Keying and Chassis profiles
Distribution Statement A: Approved for Public Release
17
Lanes and Pipes in VPX
Term Definition
Lane
An electrical lane consists of one transmit differential pair and one receive differential pair,
point-to-point, crossed connection (transmit connects to receive and receive to transmit).
An optical lane consists of a transmit and receive fiber, point-to-point, crossed connection.
Pipe
A physical aggregation of differential pairs used for a common function that is characterized in
terms of the total number of differential pairs. A Pipe is not characterized by the protocol used
on it. The following Pipes are predefined by OpenVPX:
Ultra-Thin Pipe (UTP): A Pipe comprised of 2 differential pairs. Example: 1000BASE-KX
Ethernet, 1x Serial RapidIO, and x1 PCIe interfaces.
Thin Pipe (TP): A Pipe composed of 4 differential pairs. Example: 1000BASE-T interfaces.
Fat Pipe (FP): A Pipe composed of 8 differential pairs. Example: 4x Serial RapidIO, x4 PCIe, and
10GBASE-KX4 interfaces.
Double Fat Pipe (DFP): A Pipe composed of 16 differential pairs. Example: x8 PCIe interface.
Triple Fat Pipe (TFP): A Pipe composed of 24 differential pairs. Example: 12x InfiniBand
interface.
Quad Fat Pipe (QFP): A Pipe composed of 32 differential pairs. Example: x16 PCIe interface.
Octal Fat Pipe (OFP): A Pipe composed of 64 differential pairs. Example: x32 PCIe interface.
Distribution Statement A: Approved for Public Release
18
Modules Profiles
Profile
Name
Data Plane 4 FP Expansion
Plane P2/J2
Control Plane 2
TP
User
Defined
DP01 to DP04 CPtp01 to
CPtp02
P3/J3, P5/J5
MOD6-PAY-
4F1Q2T- 1
2.2.1-1-cc
sRIO 2.2 at 3.125
Gbaud
per Section 5.2
sRIO 2.1 at 3.125
Gbaud per
Section
5.2
SpaceWire per
Section 5.2.1
User Defined DIFF
pins
• Module Profiles specify a particular Slot Profile
and the protocols that go on groups of pins
– The section number and sequence number at the
end of the name make sure it is unique
– Module Profile sections, in ANSI/VITA 65, use the
sequence number, to specify a particular
combination of protocols out of the multiple options
Distribution Statement A: Approved for Public Release
19
Example Set of 6U Module
Profiles
Profile Name
Data Plane 4 FP
Expansion Plane P2/J2 Control Plane 2
TP
User
Defined
DP01 to DP04 CPtp01 to
CPtp02
P3/J3, P5/J5
MOD6-PAY-4F1Q2T-
12.2.1-1-cc
sRIO 2.2 at 3.125 Gbaud
per Section 5.2
sRIO 2.1 at 3.125 Gbaud
per Section 5.2
SpaceWire per
Section 5.2.1
User Defined
DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-2-cc
sRIO 2.2 at 5.0 Gbaud per
Section 5.2
sRIO 2.1 at 5.0 Gbaud per
Section 5.2
SpaceWire per
Section 5.2.1
User Defined
DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-3-cc
sRIO 2.2 at 6.25 Gbaud per
Section 5.2
sRIO 2.1 at 6.25 Gbaud
per Section 5.2
SpaceWire per
Section 5.2.1
User Defined
DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-4 to 6-cc
sRIO 2.2 at 3.125/5/6.25
Gbaud per Section 5.2
User Defined – DIFF Pins SpaceWire per
Section 5.2.1
User Defined
DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-7 to 9-cc
sRIO 2.2 at 3.125/5/6.25
Gbaud per Section 5.2
User Defined – SE Pins SpaceWire per
Section 5.2.1
User Defined
DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-1n-cc
sRIO 2.2 per Section 5.2
defined by “n” above defined by “n” above
SpaceWire per
Section 5.2.1
User Defined
J3=SE pins
J5=DIFF pins
MOD6-PAY-4F1Q2T-
12.2.1-2n-cc
sRIO 2.2 per Section 5.2
defined by “n” above defined by “n” above
SpaceWire per
Section 5.2.1
User Defined
J3=DIFF pins
J5=SE pins
MOD6-PAY-4F1Q2T-
12.2.1-3n-cc
sRIO 2.2 per Section 5.2
defined by “n” above defined by “n” above
SpaceWire per
Section 5.2.1
User Defined SE
pins
Distribution Statement A: Approved for Public Release
20
Slot Profiles
• Slot Profiles Define Pin Assignments for Both Plug-In Modules
and Backplanes, independent of protocol
– With 6U P0 thru P6 are Plug-In Module connectors
– J0 thru J6 are backplane connectors
• With most SpaceVPX Profiles P1/J1 thru P6/J6 are mostly
differential pairs
– 32 differential pairs / connector – 16 lanes
– 8 single-ended pins / connector
– 6 connectors give a total of 192 pairs and 48 single-ended
SpaceVPX 6U Slot Profile:
SLT6-PAY-4F1Q2T-10.2.1
Single-
Ended
pins
Differential
pins
Distribution Statement A: Approved for Public Release
21
Mapping Interfaces to SpaceVPX
Slot Profiles
Data Plane
4 FP
Diff
P1/J1
Control Plane
16 TP
Utility Plane Expansion
Utility Plane
Utility Plane
S
E
Diff
P5/J5
S
E
S
E
S
E
SE
P0/J0
Key
Key
S
E
Diff
P2/J2
Diff
P3/J3
Diff
P4/J4
Diff
P1/J1
User Defined
User Defined
User Defined
User Defined
Key
8 Pairs
16 Pairs
To SpaceUM
S
E
Diff
P6/J6
Serial RapidIO (sRIO) is used for the data
plane
x1 interface = 1 Ultra thin pipe (UTP)
x2 interface = 1Thin Pipe (TP)
x4 interface = 1 Fat Pipe (FP)
Utility plane provides power,
configuration, timing and
management input signals using
I2C, CMOS and LVDS levels
Expansion Plane for additional
Data FPs, Heritage I/Fs or
User DefinedSignals
SpaceWire is used for the control
plane
1 interface = 1Thin Pipe (TP)
Signals to and from the
SpaceUM
Expansion Plane for additional
Data FPs, Heritage I/Fs or
User DefinedSignals
Distribution Statement A: Approved for Public Release
22
SpaceVPX 6U Slot Families
Payload Family:
Payload, Controller, Peripheral, Control Switch
Switch Family:
Data Switch, Switch & Controller, Control Switch
Pinouts are consistent throughout slot profile families enabling single
design providing multiple slot types
Distribution Statement A: Approved for Public Release
23
6U Slot Profiles
Payload Payload Peripheral Peripheral Switch and Controller
Data and Control Switch Data Switch Controller Controller
Distribution Statement A: Approved for Public Release
24
3U Slot Profiles
Payload Payload Peripheral Switch or Mesh Slot
Switch and Controller Controller Controller
Distribution Statement A: Approved for Public Release
25
6U Connector Guidelines
All: J0 & J1 Row G: Utility Plane
Data Switch:
– J1AB: Up to 4 TP Control
– J2, J3, J4, J5, J6, J1CD: Up to 22 FP Data
Integrated Switches: Data, Management and Control:
– J1, J2: up to 16 TP Control
– J4, J3, J5, J2: up to 16 FP Data
– J6: up to 4 SpaceUM Utility Plane Feeds
Payloads:
– J1, J3, J6: Up to 12 Data FP
– J2: Expansion - Daisy Chained Up to 32 Pairs of Heritage or Data
– J4D: Control 2 TP
– J5: Expansion – Heritage (PCI 32 bit)
– J6, J5: Optical or RF
Controllers (Payload Compatible)
– J1: Up to 4 Data FP
– J2: Expansion - Daisy Chained Up to 32 Pairs of Heritage or Data
– J4, J3: Up to 16 TP Control
– J5: Expansion – Heritage (PCI 32 bit)
– J6: up to 4 SpaceUM Utility Plane Feeds
Non-assigned pins may be user defined
Distribution Statement A: Approved for Public Release
26
Payload Slot Profile (switched)
Data-In Module
Processing Module
Data-In Module
Storage Module
Processing Module
Data-In Module
Data-Out Module
UtilizeUserDefinedPinsandTopofCard
ConnectorsforAdditionalCapability
Possibility I
Possibility II
Possibility III
Data-Out Module
Any Combination of Payload Functions is Possible
Distribution Statement A: Approved for Public Release
27
Payload Slot Profile (mesh)
Data-In Module
Processing Module
Data-In Module
Storage Module
Processing Module
Data-In Module
Data-Out Module
UtilizeUserDefinedPinsandTopofCard
ConnectorsforAdditionalCapability
Possibility I
Possibility II
Possibility III
Data-Out Module
Any Combination of Payload Functions is Possible
Distribution Statement A: Approved for Public Release
28
SpaceVPX System Controller
System
Controller
A
System
Controller
B
SpaceWire
RouterRouter
SpaceWire A
to all Modules
SpaceWire B
to all Modules
SpaceUM
A
SpaceUM
B
SMI & Clocks
SMI & Clocks
Power
Power
SMI & Clocks
To 1-8 Modules
SMI & Clocks
To 1-8 Modules
Power A and B
Power A and B
Power & Sys Controller Selects
(fault tolerant)
SpaceWire to other boxes
SpaceWire to other boxes
Optional data plane connections
Optional data plane connections
• Key element of SpaceVPX fault tolerance
• Power channeled to System Controller which then directs powering of other modules
• Uses System Management Interface (SMI) for chassis management then SpaceWire for Control Plane operations
• SpaceWire router defined with System Controller may be separated with cross-strapping
SpaceWire may be used as C&DH or medium
speed data path within SpaceVPX box
Distribution Statement A: Approved for Public Release
29
Controller Slot (with Data Plane
Connection)
Data-In Module
Processing Module
Data-In Module
or
Controller Module
Controller Module
Control Switch Module
Control Switch ModulePossibility I
Possibility II
UtilizeUserDefinedPinsandTopofCard
ConnectorsforAdditionalCapabilityAny Combination of Payloads with Controller
or Control Switch is Possible
Distribution Statement A: Approved for Public Release
30
Controller Slot (without Data Plane
Connection)
Controller Module
Controller Module
or
Control Switch Module
Control Switch Module
Processing Module
Storage Module
Processing Module
Possibility I
Possibility II
UtilizeUserDefinedPinsandTopofCard
ConnectorsforAdditionalCapabilityAny Combination of Payloads with Controller
or Control Switch is Possible
Distribution Statement A: Approved for Public Release
31
Data Switch and Controller
Slot Profile
Controller Module
Data Switch Module
Controller Module
Data Switch Module
or
Control Switch Module
Control Switch Module
Data Switch Module
or
Possibility I
Possibility II
Possibility III
UtilizeUserDefinedPinsandTopofCard
ConnectorsforAdditionalCapabilityAny Combination of Data Switch with Controller
or Control Switch is Possible
Distribution Statement A: Approved for Public Release
32
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
33
Data Plane Switch Topology
Distribution Statement A: Approved for Public Release
34
Data Plane in SpaceVPX
• High-throughput, predictable data movement without interfering with other traffic
• Examples: Serial RapidIO or PCI Express
VPX
3
VPX
4
VPX
5
VPX
6
VPX
11
VPX
12
VPX
13
VPX
7
VPX
10
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Switch
Contrl
Switch
TP
Power A and B
Switched
Utility Plane
(includes system
management,
reference clocks,
reset and power)
Control Plane
(TP)
Data Plane
(FP)
Expansion
Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload
slots
Payload
slots
VPX
14
Contrl
Plane
Expan
Plane
Data
Plane
UM
8-9
Controller
Selection
A and B
VPX
2
Expan
Plane
Data
Plane
IPMC
Data
Switch
Data
Switch
IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC
Contrl
Plane
VPX
1
Expan
Plane
Data
Plane
Contrl
Plane
IPMC
VPX
15
Contrl
Plane
Expan
Plane
Data
Plane
VPX
16
Contrl
Plane
Expan
Plane
Data
Plane
IPMC IPMC
TP
ChMC ChMC
SW
Switch&
Controller
Switch&
Controller
SpaceUM
Slots
Distribution Statement A: Approved for Public Release
35
Data Plane Mesh Topology
Distribution Statement A: Approved for Public Release
36
Expansion Plane in SpaceVPX
• Tightly coupled groups of boards and I/O
• Heritage Interfaces (PCI)
VPX
3
VPX
4
VPX
5
VPX
6
VPX
11
VPX
12
VPX
13
VPX
7
VPX
10
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Switch
Contrl
Switch
TP
Power A and B
Switched
Utility Plane
(includes system
management,
reference clocks,
reset and power)
Control Plane
(TP)
Data Plane
(FP)
Expansion
Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload
slots
Payload
slots
VPX
14
Contrl
Plane
Expan
Plane
Data
Plane
UM
8-9
Controller
Selection
A and B
VPX
2
Expan
Plane
Data
Plane
IPMC
Data
Switch
Data
Switch
IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC
Contrl
Plane
VPX
1
Expan
Plane
Data
Plane
Contrl
Plane
IPMC
VPX
15
Contrl
Plane
Expan
Plane
Data
Plane
VPX
16
Contrl
Plane
Expan
Plane
Data
Plane
IPMC IPMC
TP
ChMC ChMC
SW
Switch&
Controller
Switch&
Controller
SpaceUM
Slots
Distribution Statement A: Approved for Public Release
37
Control Plane Switch Topology
Distribution Statement A: Approved for Public Release
38
Control Plane in SpaceVPX
• Reliable, packet-based communication for application control, exploitation data
• Typically Gigabit Ethernet for Commercial and SpaceWire for Space Applications
VPX
3
VPX
4
VPX
5
VPX
6
VPX
11
VPX
12
VPX
13
VPX
7
VPX
10
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Switch
Contrl
Switch
TP
Power A and B
Switched
Utility Plane
(includes system
management,
reference clocks,
reset and power)
Control Plane
(TP)
Data Plane
(FP)
Expansion
Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload
slots
Payload
slots
VPX
14
Contrl
Plane
Expan
Plane
Data
Plane
UM
8-9
Controller
Selection
A and B
VPX
2
Expan
Plane
Data
Plane
IPMC
Data
Switch
Data
Switch
IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC
Contrl
Plane
VPX
1
Expan
Plane
Data
Plane
Contrl
Plane
IPMC
VPX
15
Contrl
Plane
Expan
Plane
Data
Plane
VPX
16
Contrl
Plane
Expan
Plane
Data
Plane
IPMC IPMC
TP
ChMC ChMC
SW
Switch&
Controller
Switch&
Controller
SpaceUM
Slots
Distribution Statement A: Approved for Public Release
39
Utility System Management in
SpaceVPX
• Low-power
• Defined by VITA 46.0 and 46.11
• Prognosticates/diagnoses problems
• Can control module power
VPX
3
VPX
4
VPX
5
VPX
6
VPX
11
VPX
12
VPX
13
VPX
7
VPX
10
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Switch
Contrl
Switch
TP
Power A and B
Switched
Utility Plane
(includes system
management,
reference clocks,
reset and power)
Control Plane
(TP)
Data Plane
(FP)
Expansion
Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload
slots
Payload
slots
VPX
14
Contrl
Plane
Expan
Plane
Data
Plane
UM
8-9
Controller
Selection
A and B
VPX
2
Expan
Plane
Data
Plane
IPMC
Data
Switch
Data
Switch
IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC
Contrl
Plane
VPX
1
Expan
Plane
Data
Plane
Contrl
Plane
IPMC
VPX
15
Contrl
Plane
Expan
Plane
Data
Plane
VPX
16
Contrl
Plane
Expan
Plane
Data
Plane
IPMC IPMC
TP
ChMC ChMC
SW
Switch&
Controller
Switch&
Controller
SpaceUM
Slots
Distribution Statement A: Approved for Public Release
40
Utility Clocks, Reset and Power in
SpaceVPX
• Power pins and various utility signals
• Utility plane provides power, configuration, timing and management input signals
using I2C, CMOS and LVDS levels
VPX
3
VPX
4
VPX
5
VPX
6
VPX
11
VPX
12
VPX
13
VPX
7
VPX
10
Expan
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Contrl
Plane
Expan
Plane
Expan
Plane
Expan
Plane
Data
Plane
Data
Plane
Data
Plane
Contrl
Switch
Contrl
Switch
TP
Power A and B
Switched
Utility Plane
(includes system
management,
reference clocks,
reset and power)
Control Plane
(TP)
Data Plane
(FP)
Expansion
Plane
(DFP)
Slot
numbers
are logical,
physical
slot
numbers
may be
different
Payload
slots
Payload
slots
VPX
14
Contrl
Plane
Expan
Plane
Data
Plane
UM
8-9
Controller
Selection
A and B
VPX
2
Expan
Plane
Data
Plane
IPMC
Data
Switch
Data
Switch
IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC
Contrl
Plane
VPX
1
Expan
Plane
Data
Plane
Contrl
Plane
IPMC
VPX
15
Contrl
Plane
Expan
Plane
Data
Plane
VPX
16
Contrl
Plane
Expan
Plane
Data
Plane
IPMC IPMC
TP
ChMC ChMC
SW
Switch&
Controller
Switch&
Controller
SpaceUM
Slots
41
Example of 6U SpaceVPX
Backplane Profile
Profile name
Mechanical Slot Profiles and Section Channel Gbaud Rate
Pitch
(in)
RTM
Conn Payload
Switch &
Controller
Control
Plane
Data
Plane
Exp.
Plane
BKP6-CEN9-
11.2.5-1 1.2
[VITA
46.10]
SLT6-PAY-
4F1Q2T-
10.2.1
SLT6-
SWC-
12F16T-
10.4.1
0.4 3.125 3.125
BKP6-CEN9-
11.2.5-2 1.2
[VITA
46.10]
SLT6-PAY-
4F1Q2T-
10.2.1
SLT6-
SWC-
12F16T-
10.4.1
0.4 5.0 5.0
BKP6-CEN9-
11.2.5-3 1.2
[VITA
46.10]
SLT6-PAY-
4F1Q2T-
10.2.1
SLT6-
SWC-
12F16T-
10.4.1
0.4 6.25 6.25
Distribution Statement A: Approved for Public Release
42
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
43
SpaceVPX Concept Development
• Three concepts developed
• Concept 1 – Redefine an existing OpenVPX connector block as a second
Utility/Management plane block (similar to P0/P1)
• Incompatible with existing OpenVPX modules
• Concept 2 – Extend the existing Open VPX connector by adding a second
Utility/Management plane block (P7/P8 similar to P0/P1)
• Extends module height from 6U to 6U+TBD
• Partially compatible with existing OpenVPX modules
• Concept 3 – Add one or more Space Utility/Management (SpaceUM)
modules with independent support for each OpenVPX module
• The SpaceUM module receives redundant Utility and Management
Plane signals through the backplane and selects one set to be
forwarded to the OpenVPX module Utility/Management plane signals
• Allows use of existing OpenVPX modules in appropriate flight
applications
Distribution Statement A: Approved for Public Release
44
SpaceVPX Redundancy
• Each of the interconnect planes defined by OpenVPX are
supported by SpaceVPX as fully cross-strapped single-fault
tolerant capable
• Control, Data and Expansion plane redundancy is provided
using the existing OpenVPX connectivity
• Ability to utilize M-of-N payload module redundancy to support either
higher reliability or degraded modes of operation
• The SpaceVPX Utility and Management plane redundancy is
a major departure from OpenVPX
• OpenVPX uses single-source, bused power distribution
• OpenVPX uses single-source, bused clock, reset and management
distribution
• Each interconnect plane can be implemented with reduced
fault tolerance when desired
Distribution Statement A: Approved for Public Release
45
SpaceVPX Fault Tolerance
Goal
SpaceVPX is to achieve an acceptable level of fault tolerance, while maintaining reasonable
compatibility with OpenVPX components, including connector pin assignments. For the
purposes of fault tolerance, a module is considered the minimum redundancy element. The
Utility Plane, Management Plane, and Control Plane are all distributed redundantly and in
star topologies to provide fault tolerance.
For Space applications, the major fault tolerance requirements are listed below:
• Dual-redundant power distribution (bussed) (Section 3.2.1) where each distribution is supplied
from an independent power source.
• Dual-redundant management distribution (point-to-point cross-strapped) where each distribution
is supplied from an independent management controller to a SpaceUM module that selects
between the A and B management controllers for distribution to each of the slots controlled by
the SpaceUM module.
• Card-level serial management (Section 3.4.3)
• Card-level reset control
• Card-level power control
• Timing/synchronization/clocks, Matched length, low-skew differential (Section 3.4.2)
• Fault tolerant Utility Plane selection (bussed) (Section 3.3)
• Dual-redundant Data planes (point-to-point cross-strapped)
• Dual-Redundant Control planes (point-to-point cross-strapped) (Section 3.2.3)
• VITA 78 infrastructure allows for fully managed FRUs and for dumb FRUs
Distribution Statement A: Approved for Public Release
46
Space Utility Management
• Architecture
• Provides single-fault-tolerance with limited increase in SWaP
• One SpaceUM module supports eight VPX slots
• Scalable to very large units
• Maximum of 31 VPX slots and 4 SpaceUM slots
• Dual-redundant power distribution
• Allows traditional space methods
• Dual-redundant management distribution
• Traditional capability using improved methods
• Accommodates supplier-preferred methods for implementing
interoperable products
• Topology
• Traditional tree-topology is familiar to space suppliers
• Flexible command/status interface options allow tailoring to customer
needs
Distribution Statement A: Approved for Public Release
47
System Management
• Leverages the VITA 46.11 industry standard
• Limited subset to minimize complexity
• Defines an alternative light-weight protocol for less complex
systems
• Basic functions supported
• Individual module power on-off control
• Individual module reset control
• Individual module status monitoring
• Advanced capabilities
• Module-level telemetry acquisition
• Voltage, temperature, digital state, etc.
• Module-level functional control
• Sub-function power control, register access, memory access, etc.
Distribution Statement A: Approved for Public Release
48
System Controller/Utility Plane
Distribution Statement A: Approved for Public Release
49
SpaceVPX Management
Distribution Topology
System
Controller A
VPX Slot
(ChMC)
Select
(discrete)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
Management Select
System
Controller B
VPX Slot
(ChMC)
ManagementFanoutB
(IPMC)
ManagementFanoutA
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuit
VPXSlot
(IPMC)
SelectCircuitSelectCircuit
SYS_RST
System
Management
SYS_RST
System
Management
Controller
Select
Distribution Statement A: Approved for Public Release
50
Power/Utility Plane
Distribution Statement A: Approved for Public Release
51
SpaceVPX Power Distribution
Topology
Power
Supply
Power
Supply
System
Controller A
VPX Slot
(ChMC)
Select
(discrete)
SelectCircuit
VPXSlot
SelectCircuit
VPXSlot
SelectCircuit
VPXSlot
SelectCircuit
VPXSlot
SelectCircuit
VPXSlot
Power A
Power B
Power
Select
Utility Select
System
Controller B
VPX Slot
(ChMC)
SelectCircuitSelectCircuit
VPXSlotVPXSlot
SelectCircuitSelectCircuit
Distribution Statement A: Approved for Public Release
52
SpaceVPX 6U Power Profiles
Key 1 Key 2 Key 3
Module Type
Power Feeds (SpaceUM and PSC Only)
Both: PF1-PF8,
SpaceUM:PF17
Both:PF9-PF16,
SpaceUM: PF18
SpaceU
M:
PF19
SpaceUM:
PF20
Power Profile
270 270 Open Switch
(3U
Power)
-- -- -- --
270 315 Open Payload
(3U
Power)
-- -- -- --
315 270 Open Switch
(6U Std Power)
-- -- -- --
315 315 Open Payload
(6U Std
Power)
-- -- -- --
90 0 0 SpaceUM 12 12 12 -12 12V
90 0 45 SpaceUM 12 12 3.3 -12 12V_3.3V
90 0 90 SpaceUM 12 12 5 -12 12V_5V
90 0 270 SpaceUM 12 5 3.3 -12 12V_5V_3.3V
90 45 0 SpaceUM 5 5 12 -12 5V_12V
90 45 45 SpaceUM 5 5 3.3 -12 5V_3.3V
90 45 90 SpaceUM 5 5 5 -12 5V
90 45 270 SpaceUM 5 3.3 12 -12 5V_3.3V_12V
90 90 0 SpaceUM 3.3 3.3 12 -12 3.3V_12V
90 90 45 SpaceUM 3.3 3.3 3.3 -12 3.3V
90 90 90 SpaceUM 3.3 3.3 5 -12 3.3V_5V
90 90 270 SpaceUM 3.3 12 5 -12 3.3V_12V_5V
90 270 0 SpaceUM 12 12 12 12 12V_max
90 270 45 SpaceUM 3.3 3.3 3.3 3.3 3.3V_max
90 270 90 SpaceUM 5 5 5 5 5V_max
Distribution Statement A: Approved for Public Release
53
SpaceVPX 6U Power Profiles
Key 1 Key 2 Key 3
Module Type
Power Feeds (SpaceUM and PSC Only)
Both: PF1-PF8,
SpaceUM: PF17
Both: PF9-PF16,
SpaceUM: PF18
SpaceUM:
PF19
SpaceUM:
PF20
Power Profile
90 270 270 SpaceUM NA NA NA NA
90 315 270 SpaceUM Intermediate
Power
Intermediate
Power
Intermediate
Power
Intermediate
Power
0-18VDC
90 315 315 SpaceUM Intermediate
Power
Intermediate
Power
Intermediate
Power
Intermediate
Power
18VDC-36VDC
0 0 0 PSC 18-36V Input 12 12 N/A N/A 12V
0 0 45 PSC 18-36V Input 12 12 N/A N/A 12V_n3.3V
0 0 90 PSC 18-36V Input 12 12 N/A N/A 12V_n5V
0 0 270 PSC 18-36V Input 12 5 N/A N/A 12V_5V_n3.3V
0 45 0 PSC 18-36V Input 5 5 N/A N/A 5V_n12V
0 45 45 PSC 18-36V Input 5 5 N/A N/A 5V_n3.3V
0 45 90 PSC 18-36V Input 5 5 N/A N/A 5V
0 45 270 PSC 18-36V Input 5 3.3 N/A N/A 5V_3.3V_n12V
0 90 0 PSC 18-36V Input 3.3 3.3 N/A N/A 3.3V_n12V
0 90 45 PSC 18-36V Input 3.3 3.3 N/A N/A 3.3V
Distribution Statement A: Approved for Public Release
54
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
55
Mechanical
• General Form Factor – VITA 46
• Conduction Cooled Modules – VITA 48.2
• Updated figures
• Screw and tab modules with addition of threaded holes
• Separate extraction tool for non-levered modules
• Pitch – 0.8”, 1.0”, 1.2” (default)
• Multiple pitch cards may be used
• 160 mm standard length
• 220, 280 and 340 mm allowed
• Accommodates larger wedgelock
• Connections to PWB ground allowed
• Connector – VITA 46, VITA 60 or VITA 63 may be used
Strongly leverages existing
OpenVPX mechanical
infrastructure and standards
Distribution Statement A: Approved for Public Release
56
6U SpaceUM Connector
• Currently two vendors are
designing and building
SpaceUM connectors
• TE Connectivity
• Smith Connectors
• Each SpaceUM connects to
a maximum number of 8
slots.
Distribution Statement A: Approved for Public Release
57
6U and 3U Form Factor Plug-In
Unit Dimensions
OpenVPX SpaceVPX
Distribution Statement A: Approved for Public Release
58
VXS P0 Connector
P0 connector supports
channels for serial fabrics
Distribution Statement A: Approved for Public Release
59
VPX Connector
• Same type of connector as used for P0 of VXS
• Used along entire edge of board instead of just a single
connector
VPX Connector
for 6U Module
Distribution Statement A: Approved for Public Release
60
VPX Cooling Options
• OpenVPX references VITA 48 specifications for mechanical and cooling
– ANSI/VITA 48.0 Mechanical Specification for Microcomputers Using Ruggedized Enhanced
Design Implementation (REDI) – Base specification
• Options where cooling air normally comes in contact with electronic components
– ANSI/VITA 48.1 Air cooled – widely used
• Can handle up to around 200 W per 6U module
• Module pitch of .80, 0.85, and 1.00 inches allowed; 1.00 inches is the most common
• Options where cooling air normally has no contact with electronic components
– ANSI/VITA 48.2 Conduction Cooled – widely used
• Limited to around 150 W per 6U module, with air-cooled chassis side walls (higher with liquid cooled walls)
• Module pitch of .80, 0.85, and 1.00 inches allowed; 1.00 inches is the most common
– ANSI/VITA 48.5 Air Flow Through (AFT)
• Can handle up to around 200 W per 6U module
• Module pitch of up to 1.52 inches allowed; looks like 1.2 inches is becoming a common pitch
– ANSI/VITA 48.7 air flow-by
• Can handle up to around 200 W per 6U module
• Module pitch of .85, 1.00, 1.10, and 1.20 inches allowed; expect 1.0 to be the most common
– VITA 48.4 Liquid Flow Through (LFT) – draft not yet available
• Expected to handle up to 300 to 400 W per 6U module
Distribution Statement A: Approved for Public Release
61
ANSI/VITA 48.2 Conduction
Cooling
• Limited to around 150 W per 6U x 160 mm
module, with air-cooled side walls
– This is not enough for some modules
– With liquid cooled chassis sidewalls
can handle more
• Proven rugged approach with long heritage
– Newer approaches tend to handle higher power
levels or give lower junction temperature at the
same power level
• Lower junction temperatures tend to increase the MTBF
(Mean Time Between Failure)
• Suppliers tend to spec the temperature at the
module’s edge (in according with ANSI/VITA 47)
– Be aware there will be a drop between the card and the
chassis side wall – this can be a performance limiter
• The temperature drop from module edge to chassis rail is a significant part of the
budget, e.g. 55ºC air at 10,000 ft. to a card edge of 85ºC max = 30ºC budget
Primary
Cover
PWB
Diagrams from
Mercury Systems
presentation given
at Sept 2012 VITA
Standards meeting
Distribution Statement A: Approved for Public Release
62
VITA Connector Options
• 3 VITA standard connectors as candidates (TE Connectivity, Amphenol, and Smith
Connectors).
• A fourth candidate is under development (IEH Corp.); however, the product is
nascent.
• The SpaceVPX (VITA 78) did not make a connector recommendation.
• Insufficient information largely the reason for a “No Go” on a recommendation.
• For additional info see VPX connector reports
– Available at: http://www.vita.com/VPX_Reports
Distribution Statement A: Approved for Public Release
63
Plug-in Module Vibration
Response
• In addition micro-motion of Plug-In module being a potential cause of
connector fretting wear/corrosion, backplane micro-motion can also
be an issue.
1st Mode Shape
Daughtercard connectorDaughtercard PWB (no vibration)
Backplane PWB
Backplane connector
Direction of applied vibration
(Z-axis of daughtercard)
Direction of applied vibration
(Z-axis of daughtercard)
Possible fretting wear/corrosion of
contacts due to micromotion
Most of the slide
content is from a
Curtiss-Wright
presentation given at
Nov 2012 VITA
Standards meeting
Distribution Statement A: Approved for Public Release
64
Fretting Corrosion with Original RT2
Connector Resulting From Torturous
Vibration Testing
• Fretting corrosion is contact wear due to motion of
backplane with respect to Plug-In Module
• Fretting can be an issue for systems operating in
high vibration environments
• Fretting can be exacerbated by a poor design and/or
poor fabrication
• Pictures show wafers from RT2 connectors, Plug-In
Module side, subjected to torturous vibration testing
• Mercury and TE have developed the RT2-R
connector to reduce the susceptibility to vibration
• RT2 contacts wore through Gold, Nickel, &
Copper pads on wafer (Plug-In Module side)
• Believe two rows of holes as opposed to one
because board was unplugged and plugged part
way through testing (and did not seat in exactly
the same place) or board moved during testing.
Pictures from
Mercury
Systems
presentation
given at Sept
2012 VITA
Standards
meeting and
courtesy of TE
Connectivity
Connector
wafer
Distribution Statement A: Approved for Public Release
65
RT2-R Enhanced Contact Design
(Backplane Side)
• RT2-R is more rugged than
RT2
– Changes made to both
backplane and Plug-In Module
sides
– Backplane side pins changed
from 2 points of contact to 4
• RT2 and RT2-R inter-mate
and PWB footprints are the
same
MULTIGIG RT2
2 Contact Points
MULTIGIG RT2-R
4 Contact Points
Pictures courtesy of TE Connectivity
Distribution Statement A: Approved for Public Release
66
RT2-R Enhancement Extended
Pads (Plug-In Module Side)
Plug-In Module wafer
Ground pad
RT 2 RT 2-R
(Extended pads)
• RT 2 and RT 2-R VPX Plug-In Module wafers
are plated with .000050” min gold over nickel.
– Note: TE Connectivity uses the term “Daughter card”
to refer to what VITA 65 refers to as a “Plug-In Module”
• RT 2-R signal pads extended 1.20mm to maintain
at least 2 mm contact wipe with all 4 contact points.
• RT 2 Plug-In Module connectors can be used with RT 2-R backplane connectors and maintain
4 point redundancy if connectors are within 0.5 mm of being fully mated in application.
Pictures courtesy of TE Connectivity
22.31 mm
(0.878”)
Distribution Statement A: Approved for Public Release
67
Minimizing Fretting Requires Attention
to More Than Just Connectors
• Movement of Plug-In Module with respect
to backplane must be minimized
– Consider stiffness of backplane – backplane
bowing can be an issue
– Pay close attention to all mechanical aspects
of Plug-In module, backplane and chassis that can
affect motion of Plug-In Module connectors with
respect to backplane connectors
• TE has “Ruggedized” guide hardware –
machined hardware as
opposed to die cast
– Stainless steel guide pin
– Aluminum (6061) guide socket (9.0 mm wide, 0.354”)
• Ni plated
• With optional ESD contact
Guide Module Assembly
Shown w/ optional ESD
contact (2000713-X)Pictures courtesy of TE Connectivity
Distribution Statement A: Approved for Public Release
OpenVPX Tutorial-68
20 Jan. 2016
DISTRIBUTION STATEMENT A. Approved for public release; distribution is unlimited.
Minimizing Fretting Requires Attention to More
Than Just Connectors
• Movement of Plug-In Module with respect
to backplane must be minimized
– Consider stiffness of backplane – backplane
bowing can be an issue
– Pay close attention to all mechanical aspects
of Plug-In module, backplane and chassis that can
affect motion of Plug-In Module connectors with
respect to backplane connectors
• TE has “Ruggedized” guide hardware –
machined hardware as
opposed to die cast
– Stainless steel guide pin
– Aluminum (6061) guide socket (9.0 mm wide, 0.354”)
• Ni plated
• With optional ESD contact Guide Module Assembly
Shown w/ optional ESD
contact (2000713-X)Pictures courtesy of TE Connectivity
Links: VXS P0, Pin Numbering, RTM, Slot Profiles, Connector, Contacts, RT2-R Wafer
69
Outline
• What is SpaceVPX?
• SpaceVPX Use Cases and Topologies
• SpaceVPX Slot Profiles
• SpaceVPX Backplane Profile
• SpaceUM - Provisions for Redundancy and
Fault Tolerance
• SpaceVPX Mechanical General
Specifications
• Backup
Distribution Statement A: Approved for Public Release
70
Distribution A. Approved for public release: distribution unlimited
Questions?

Mais conteúdo relacionado

Mais procurados

Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
Deepak Shankar
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Altera Corporation
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex Chips
DVClub
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference Modeling
DVClub
 

Mais procurados (20)

Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Fundamentals of EtherNet/IP Network Technology
Fundamentals of EtherNet/IP Network TechnologyFundamentals of EtherNet/IP Network Technology
Fundamentals of EtherNet/IP Network Technology
 
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112GDesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
DesignCon 2019 112-Gbps Electrical Interfaces: An OIF Update on CEI-112G
 
Rigid-Flex Circuit Board Gerber Layout Guidelines Webinar
Rigid-Flex Circuit Board Gerber Layout Guidelines WebinarRigid-Flex Circuit Board Gerber Layout Guidelines Webinar
Rigid-Flex Circuit Board Gerber Layout Guidelines Webinar
 
NVMe over Fabric
NVMe over FabricNVMe over Fabric
NVMe over Fabric
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIe
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
 
Automotive Ethernet: Physical Layer Compliance Testing Webinar Slides
Automotive Ethernet: Physical Layer Compliance Testing Webinar SlidesAutomotive Ethernet: Physical Layer Compliance Testing Webinar Slides
Automotive Ethernet: Physical Layer Compliance Testing Webinar Slides
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex Chips
 
SATA Protocol
SATA ProtocolSATA Protocol
SATA Protocol
 
OpenStack Neutron's Distributed Virtual Router
OpenStack Neutron's Distributed Virtual RouterOpenStack Neutron's Distributed Virtual Router
OpenStack Neutron's Distributed Virtual Router
 
PCI Express Verification using Reference Modeling
PCI Express Verification using Reference ModelingPCI Express Verification using Reference Modeling
PCI Express Verification using Reference Modeling
 
Universal Flash Storage
Universal Flash StorageUniversal Flash Storage
Universal Flash Storage
 
400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide400G/800G High Speed Networking product guide
400G/800G High Speed Networking product guide
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
The Basics of Automotive Ethernet Webinar Slidedeck
The Basics of Automotive Ethernet Webinar SlidedeckThe Basics of Automotive Ethernet Webinar Slidedeck
The Basics of Automotive Ethernet Webinar Slidedeck
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
Advanced Layout & Routing Techniques
Advanced Layout & Routing TechniquesAdvanced Layout & Routing Techniques
Advanced Layout & Routing Techniques
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
In-service synchronization monitoring and assurance
In-service synchronization monitoring and assuranceIn-service synchronization monitoring and assurance
In-service synchronization monitoring and assurance
 

Destaque (15)

Curriculum Vitae - Ehab Sabah
Curriculum Vitae - Ehab SabahCurriculum Vitae - Ehab Sabah
Curriculum Vitae - Ehab Sabah
 
Putri nadyafazri(tutorial membuat public key)
Putri nadyafazri(tutorial membuat public key)Putri nadyafazri(tutorial membuat public key)
Putri nadyafazri(tutorial membuat public key)
 
Putri nadyafazri(tutorial virtual host dan ssl)
Putri nadyafazri(tutorial virtual host dan ssl)Putri nadyafazri(tutorial virtual host dan ssl)
Putri nadyafazri(tutorial virtual host dan ssl)
 
1exer dessin
1exer dessin1exer dessin
1exer dessin
 
enrollment no. : 160210116028 Sofiya's ppt of cs
  enrollment no. : 160210116028  Sofiya's ppt of cs  enrollment no. : 160210116028  Sofiya's ppt of cs
enrollment no. : 160210116028 Sofiya's ppt of cs
 
Administrasi pendidikan
Administrasi pendidikanAdministrasi pendidikan
Administrasi pendidikan
 
The Muscular Heart
The Muscular HeartThe Muscular Heart
The Muscular Heart
 
Cours bd-access-2007
Cours bd-access-2007Cours bd-access-2007
Cours bd-access-2007
 
02 pauta y_tabla_de_notacion_interna0
02 pauta y_tabla_de_notacion_interna002 pauta y_tabla_de_notacion_interna0
02 pauta y_tabla_de_notacion_interna0
 
Chapitre cotation dimensionnelle
Chapitre cotation dimensionnelleChapitre cotation dimensionnelle
Chapitre cotation dimensionnelle
 
Coupes et sections
Coupes et sectionsCoupes et sections
Coupes et sections
 
Coupe et sections doc eleve
Coupe et sections doc eleveCoupe et sections doc eleve
Coupe et sections doc eleve
 
Cours ajustements e
Cours ajustements eCours ajustements e
Cours ajustements e
 
MatthewMV3.Resume
MatthewMV3.ResumeMatthewMV3.Resume
MatthewMV3.Resume
 
sandhya exp resume
sandhya exp resume sandhya exp resume
sandhya exp resume
 

Semelhante a SpaceVPX Tutorial 06282016

Software Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur ChannegowdaSoftware Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur Channegowda
CPqD
 
Software Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur ChannegowdaSoftware Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur Channegowda
CPqD
 
New Trends with VME and OpenVPX - Part 2
New Trends with VME and OpenVPX - Part 2New Trends with VME and OpenVPX - Part 2
New Trends with VME and OpenVPX - Part 2
Eurotech
 

Semelhante a SpaceVPX Tutorial 06282016 (20)

5 cc
5 cc5 cc
5 cc
 
Software Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur ChannegowdaSoftware Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur Channegowda
 
Software Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur ChannegowdaSoftware Defined Optical Networks - Mayur Channegowda
Software Defined Optical Networks - Mayur Channegowda
 
Summit 16: How to Compose a New OPNFV Solution Stack?
Summit 16: How to Compose a New OPNFV Solution Stack?Summit 16: How to Compose a New OPNFV Solution Stack?
Summit 16: How to Compose a New OPNFV Solution Stack?
 
OIF 112G Panel at DesignCon 2017
OIF 112G Panel at DesignCon 2017OIF 112G Panel at DesignCon 2017
OIF 112G Panel at DesignCon 2017
 
Emerging Networking Technologies for Industrial Applications
Emerging Networking Technologies for Industrial ApplicationsEmerging Networking Technologies for Industrial Applications
Emerging Networking Technologies for Industrial Applications
 
Designing and deploying converged storage area networks final
Designing and deploying converged storage area networks finalDesigning and deploying converged storage area networks final
Designing and deploying converged storage area networks final
 
ThesisPresentation_Upd
ThesisPresentation_UpdThesisPresentation_Upd
ThesisPresentation_Upd
 
OIF CEI 56-G-FOE-April2015
OIF CEI 56-G-FOE-April2015OIF CEI 56-G-FOE-April2015
OIF CEI 56-G-FOE-April2015
 
How Future-Proof Antenna Systems Help Broadcasters in the U.S. Television Spe...
How Future-Proof Antenna Systems Help Broadcasters in the U.S. Television Spe...How Future-Proof Antenna Systems Help Broadcasters in the U.S. Television Spe...
How Future-Proof Antenna Systems Help Broadcasters in the U.S. Television Spe...
 
Gntc 2017 cord platform
Gntc 2017 cord platformGntc 2017 cord platform
Gntc 2017 cord platform
 
NetSim Webinar on Cognitive Radio Networks
NetSim Webinar on Cognitive Radio NetworksNetSim Webinar on Cognitive Radio Networks
NetSim Webinar on Cognitive Radio Networks
 
ENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency ApplicationsENRZ Advanced Modulation for Low Latency Applications
ENRZ Advanced Modulation for Low Latency Applications
 
Duotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWRDuotech's F-16 Briefing During the 2015 F-16 TCG WWR
Duotech's F-16 Briefing During the 2015 F-16 TCG WWR
 
New Trends with VME and OpenVPX - Part 2
New Trends with VME and OpenVPX - Part 2New Trends with VME and OpenVPX - Part 2
New Trends with VME and OpenVPX - Part 2
 
DCD Zettastructure 2017 OCP data center project engineering workshop
DCD Zettastructure 2017 OCP data center project engineering workshopDCD Zettastructure 2017 OCP data center project engineering workshop
DCD Zettastructure 2017 OCP data center project engineering workshop
 
Multi protocol label switching (mpls)
Multi protocol label switching (mpls)Multi protocol label switching (mpls)
Multi protocol label switching (mpls)
 
ECOC Panel on OIF CEI 56G
ECOC Panel on OIF CEI 56GECOC Panel on OIF CEI 56G
ECOC Panel on OIF CEI 56G
 
Stacks and Layers: Integrating P4, C, OVS and OpenStack
Stacks and Layers: Integrating P4, C, OVS and OpenStackStacks and Layers: Integrating P4, C, OVS and OpenStack
Stacks and Layers: Integrating P4, C, OVS and OpenStack
 
Update on Trinity System Procurement and Plans
Update on Trinity System Procurement and PlansUpdate on Trinity System Procurement and Plans
Update on Trinity System Procurement and Plans
 

SpaceVPX Tutorial 06282016

  • 1. Air Force Research Laboratory Integrity  Service  Excellence Charles Patrick Collier Senior Electrical Research Engineer AFRL Space Vehicles Air Force Research Laboratory 06/28/2016 NGSIS SpaceVPX and SpaceVPXLite Distribution Statement A: Approved for Public Release
  • 2. 2 Bottom Line Up Front (BLUF) What is wrong with just using legacy space electronics? • Legacy space systems are often point solutions • Re-use is not a priority • Don’t have the full range of redundancy options (dual-string, M- of-N, etc.) built-in given the particular application and its needs. • Internal interfaces are often proprietary and application specific • Modules are not designed to inter-operate at either hardware or software level Distribution Statement A: Approved for Public Release
  • 3. 3 ANSI/VITA 1 VME64 includes 32 and 64-bit address; P1 and P2 connectors expanded from 96 to 100 pins each ANSI/VITA 41.0 VXS adds new P0 connector to support high baud rates of Packet Switch fabrics such as SRIO. VME’s P1 and P2 retained. ANSI/VITA 5 – 1995 RACEway Interlink first of the Switched Fabrics standardized by VITA Circuit switched, parallel signaling as oppose to serial – superseded by ANSI/VITA 5.1 1999 (S2011) ANSI/VITA 46.0-2007 VPX provide many more high baud rate pins at the expense of backward compatibility. ANSI/VITA 66.0-2011 adds blind mate optical connectors to VPX ANSI/VITA 67.0-2012 adds blind mate coax connectors to VPX ANSI/VITA 46.0-2007 (R2013) VPX revision taking input from VITA 65 Working Group ANSI/VITA 65-2010 (R2012) second release of OpenVPX ANSI/VITA 78-2015 SpaceVPX specification is released that provides a Space specific VPX version for Space applications. VITA 78.1 – SpaceVPXLite 3U version of SpaceVPX is underdevelopment for SWaP constrained Space applications – a release is expected in 2016. ANSI/VITA 65-2010 OpenVPX brings system perspective to VPX Timeline VME, VXS, VPX, OpenVPX, SpaceVPX, and SpaceVPXLite Distribution Statement A: Approved for Public Release
  • 4. 4 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 5. 5 What are SpaceVPX’ s Goals Created to bridge the VPX standards to the space market. • SpaceVPX addresses both interoperability (as OpenVPX does) and space application needs (not in OpenVPX). • SpaceVPX defines Payload, Switch, Controller, and Backplane module profiles to meet needs of space applications • SpaceVPX adds features to the Utility Plane for fault tolerance • Point-to-point not bussed to tolerate faults: failure on module does not affect entire system. • Space Utility Module added to provide dual-redundant source for Utility Plane implementations. • SpaceVPX defines use of SpaceWire for Control Plane over Ethernet (OpenVPX preferred solution). Distribution Statement A: Approved for Public Release
  • 6. 6 What is SpaceVPX? Develop • Enhanced set of backplane specifications that are based upon existing commercial standards with added features required for space applications. Increase • Interoperability and compatibility between manufacturers and integrators, while simultaneously increasing affordability through the use of standard sets of hardware. Distribution Statement A: Approved for Public Release
  • 7. 7 VITA 65, RIO ver.2.0 Fault Tolerance enhancements VITA 46.3 SRIO on VPX VITA 46.9 PMC/XMC VITA 46.11 Sys. Management VITA 48.2 Conduction SpaceVPX (VITA 78) SpaceVPXLite (VITA 78.1) What is in SpaceVPX? SpaceVPX/SpaceVPXLite allows a user to configure a system from board level products purchased from different vendors - thus the focus on interoperability. Distribution Statement A: Approved for Public Release
  • 8. 8 SpaceVPX Building Blocks Payloadinput Processingcard Processingcard Switchcard Memorycard Outputcard Powercard Payloadinput Payloadinput Payloadinput Processingcard Processingcard Switchcard Memorycard Outputcard Powercard Payloadinput Payloadinput Payloadinput Processingcard Processingcard Switchcard Memorycard Outputcard Powercard Payloadinput Payloadinput SATCOM System VPX 1 VPX 2 VPX 3 VPX 7 VPX 8 VPX 9 VPX 4 VPX 6 Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane IPMC IPMC IPMC IPMC IPMC IPMC Contrl Switch Contrl Switch Power A and B Switched Management Plane (IPMB) Control Plane (TP) Data Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slotsPayload slots Switched Utility Plane Includes power UM 5 Controller Selection A and B (HLD) Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Expan Plane Expan Plane Expan Plane Expan Plane Expan Plane Expan Plane SW ChMC ChMC TP TP ControlSlot ControlSlot SpaecUM Slots Distribution Statement A: Approved for Public Release
  • 9. 9 Simplified SpaceVPX Development Flow • Determine application requirements • Size, weight and power • Processing, fabric and I/O requirements • Select overall system parameters • 3U or 6U? • Switching topology? • Number and type of slots? • Assemble development vehicle • COTS development chassis • COTS boards • COTS or custom RTMs • Design deployment system • Typically custom backplane • Typically route I/O signals to custom I/O slot or bulkhead connector Distribution Statement A: Approved for Public Release
  • 10. 10 Who is Involved in SpaceVPX? Distribution Statement A: Approved for Public Release
  • 11. 11 Who is Involved in the RapidIO Space Device Class? Distribution Statement A: Approved for Public Release
  • 12. 12 Outline • What is SpaceVPX? • SpaceVPX Base Use Case and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 13. 13 Basic SpaceVPX Payload Use Case Distribution Statement A: Approved for Public Release
  • 14. 14 Data Plane Switch Topology Full Mesh Topology Dual-Star Topology using two Switch Slots Dual-Star Topology with PCI Expansion PCI Expansion Distribution Statement A: Approved for Public Release
  • 15. 15 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 16. 16 Profiles in SpaceVPX A physical mapping of ports onto a slot’s backplane connectors A physical specification of a backplane Extends a slot profile by mapping protocols to a module’s ports VPX 1 VPX 2 VPX 3 VPX 7 VPX 8 VPX 9 VPX 4 VPX 6 Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane IPMC IPMC IPMC IPMC IPMC IPMC Contrl Switch Contrl Switch Power A and B Switched Management Plane (IPMB) Control Plane (TP) Data Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slotsPayload slots Switched Utility Plane Includes power UM 5 Controller Selection A and B (HLD) Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Data Plane Expan Plane Expan Plane Expan Plane Expan Plane Expan Plane Expan Plane SW ChMC ChMC TP TP ControlSlot ControlSlot SpaecUM Slots Slot Profile Backplane Profile Profile Name Data Plane 4 FP Expansio n Plane P2/J2 Control Plane 2 TP User Defined DP01 to DP04 CPtp01 to CPtp02 P3/J3, P5/J5 MOD6-PAY- 4F1Q2T- 12.2.1-1-cc sRIO 2.2 at 3.125 Gbaud per Section 5.2 sRIO 2.1 at 3.125 Gbaud per Section 5.2 SpaceW ire per Section 5.2.1 User Defined DIFF pins Module Profile Also: Power Keying and Chassis profiles Distribution Statement A: Approved for Public Release
  • 17. 17 Lanes and Pipes in VPX Term Definition Lane An electrical lane consists of one transmit differential pair and one receive differential pair, point-to-point, crossed connection (transmit connects to receive and receive to transmit). An optical lane consists of a transmit and receive fiber, point-to-point, crossed connection. Pipe A physical aggregation of differential pairs used for a common function that is characterized in terms of the total number of differential pairs. A Pipe is not characterized by the protocol used on it. The following Pipes are predefined by OpenVPX: Ultra-Thin Pipe (UTP): A Pipe comprised of 2 differential pairs. Example: 1000BASE-KX Ethernet, 1x Serial RapidIO, and x1 PCIe interfaces. Thin Pipe (TP): A Pipe composed of 4 differential pairs. Example: 1000BASE-T interfaces. Fat Pipe (FP): A Pipe composed of 8 differential pairs. Example: 4x Serial RapidIO, x4 PCIe, and 10GBASE-KX4 interfaces. Double Fat Pipe (DFP): A Pipe composed of 16 differential pairs. Example: x8 PCIe interface. Triple Fat Pipe (TFP): A Pipe composed of 24 differential pairs. Example: 12x InfiniBand interface. Quad Fat Pipe (QFP): A Pipe composed of 32 differential pairs. Example: x16 PCIe interface. Octal Fat Pipe (OFP): A Pipe composed of 64 differential pairs. Example: x32 PCIe interface. Distribution Statement A: Approved for Public Release
  • 18. 18 Modules Profiles Profile Name Data Plane 4 FP Expansion Plane P2/J2 Control Plane 2 TP User Defined DP01 to DP04 CPtp01 to CPtp02 P3/J3, P5/J5 MOD6-PAY- 4F1Q2T- 1 2.2.1-1-cc sRIO 2.2 at 3.125 Gbaud per Section 5.2 sRIO 2.1 at 3.125 Gbaud per Section 5.2 SpaceWire per Section 5.2.1 User Defined DIFF pins • Module Profiles specify a particular Slot Profile and the protocols that go on groups of pins – The section number and sequence number at the end of the name make sure it is unique – Module Profile sections, in ANSI/VITA 65, use the sequence number, to specify a particular combination of protocols out of the multiple options Distribution Statement A: Approved for Public Release
  • 19. 19 Example Set of 6U Module Profiles Profile Name Data Plane 4 FP Expansion Plane P2/J2 Control Plane 2 TP User Defined DP01 to DP04 CPtp01 to CPtp02 P3/J3, P5/J5 MOD6-PAY-4F1Q2T- 12.2.1-1-cc sRIO 2.2 at 3.125 Gbaud per Section 5.2 sRIO 2.1 at 3.125 Gbaud per Section 5.2 SpaceWire per Section 5.2.1 User Defined DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-2-cc sRIO 2.2 at 5.0 Gbaud per Section 5.2 sRIO 2.1 at 5.0 Gbaud per Section 5.2 SpaceWire per Section 5.2.1 User Defined DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-3-cc sRIO 2.2 at 6.25 Gbaud per Section 5.2 sRIO 2.1 at 6.25 Gbaud per Section 5.2 SpaceWire per Section 5.2.1 User Defined DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-4 to 6-cc sRIO 2.2 at 3.125/5/6.25 Gbaud per Section 5.2 User Defined – DIFF Pins SpaceWire per Section 5.2.1 User Defined DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-7 to 9-cc sRIO 2.2 at 3.125/5/6.25 Gbaud per Section 5.2 User Defined – SE Pins SpaceWire per Section 5.2.1 User Defined DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-1n-cc sRIO 2.2 per Section 5.2 defined by “n” above defined by “n” above SpaceWire per Section 5.2.1 User Defined J3=SE pins J5=DIFF pins MOD6-PAY-4F1Q2T- 12.2.1-2n-cc sRIO 2.2 per Section 5.2 defined by “n” above defined by “n” above SpaceWire per Section 5.2.1 User Defined J3=DIFF pins J5=SE pins MOD6-PAY-4F1Q2T- 12.2.1-3n-cc sRIO 2.2 per Section 5.2 defined by “n” above defined by “n” above SpaceWire per Section 5.2.1 User Defined SE pins Distribution Statement A: Approved for Public Release
  • 20. 20 Slot Profiles • Slot Profiles Define Pin Assignments for Both Plug-In Modules and Backplanes, independent of protocol – With 6U P0 thru P6 are Plug-In Module connectors – J0 thru J6 are backplane connectors • With most SpaceVPX Profiles P1/J1 thru P6/J6 are mostly differential pairs – 32 differential pairs / connector – 16 lanes – 8 single-ended pins / connector – 6 connectors give a total of 192 pairs and 48 single-ended SpaceVPX 6U Slot Profile: SLT6-PAY-4F1Q2T-10.2.1 Single- Ended pins Differential pins Distribution Statement A: Approved for Public Release
  • 21. 21 Mapping Interfaces to SpaceVPX Slot Profiles Data Plane 4 FP Diff P1/J1 Control Plane 16 TP Utility Plane Expansion Utility Plane Utility Plane S E Diff P5/J5 S E S E S E SE P0/J0 Key Key S E Diff P2/J2 Diff P3/J3 Diff P4/J4 Diff P1/J1 User Defined User Defined User Defined User Defined Key 8 Pairs 16 Pairs To SpaceUM S E Diff P6/J6 Serial RapidIO (sRIO) is used for the data plane x1 interface = 1 Ultra thin pipe (UTP) x2 interface = 1Thin Pipe (TP) x4 interface = 1 Fat Pipe (FP) Utility plane provides power, configuration, timing and management input signals using I2C, CMOS and LVDS levels Expansion Plane for additional Data FPs, Heritage I/Fs or User DefinedSignals SpaceWire is used for the control plane 1 interface = 1Thin Pipe (TP) Signals to and from the SpaceUM Expansion Plane for additional Data FPs, Heritage I/Fs or User DefinedSignals Distribution Statement A: Approved for Public Release
  • 22. 22 SpaceVPX 6U Slot Families Payload Family: Payload, Controller, Peripheral, Control Switch Switch Family: Data Switch, Switch & Controller, Control Switch Pinouts are consistent throughout slot profile families enabling single design providing multiple slot types Distribution Statement A: Approved for Public Release
  • 23. 23 6U Slot Profiles Payload Payload Peripheral Peripheral Switch and Controller Data and Control Switch Data Switch Controller Controller Distribution Statement A: Approved for Public Release
  • 24. 24 3U Slot Profiles Payload Payload Peripheral Switch or Mesh Slot Switch and Controller Controller Controller Distribution Statement A: Approved for Public Release
  • 25. 25 6U Connector Guidelines All: J0 & J1 Row G: Utility Plane Data Switch: – J1AB: Up to 4 TP Control – J2, J3, J4, J5, J6, J1CD: Up to 22 FP Data Integrated Switches: Data, Management and Control: – J1, J2: up to 16 TP Control – J4, J3, J5, J2: up to 16 FP Data – J6: up to 4 SpaceUM Utility Plane Feeds Payloads: – J1, J3, J6: Up to 12 Data FP – J2: Expansion - Daisy Chained Up to 32 Pairs of Heritage or Data – J4D: Control 2 TP – J5: Expansion – Heritage (PCI 32 bit) – J6, J5: Optical or RF Controllers (Payload Compatible) – J1: Up to 4 Data FP – J2: Expansion - Daisy Chained Up to 32 Pairs of Heritage or Data – J4, J3: Up to 16 TP Control – J5: Expansion – Heritage (PCI 32 bit) – J6: up to 4 SpaceUM Utility Plane Feeds Non-assigned pins may be user defined Distribution Statement A: Approved for Public Release
  • 26. 26 Payload Slot Profile (switched) Data-In Module Processing Module Data-In Module Storage Module Processing Module Data-In Module Data-Out Module UtilizeUserDefinedPinsandTopofCard ConnectorsforAdditionalCapability Possibility I Possibility II Possibility III Data-Out Module Any Combination of Payload Functions is Possible Distribution Statement A: Approved for Public Release
  • 27. 27 Payload Slot Profile (mesh) Data-In Module Processing Module Data-In Module Storage Module Processing Module Data-In Module Data-Out Module UtilizeUserDefinedPinsandTopofCard ConnectorsforAdditionalCapability Possibility I Possibility II Possibility III Data-Out Module Any Combination of Payload Functions is Possible Distribution Statement A: Approved for Public Release
  • 28. 28 SpaceVPX System Controller System Controller A System Controller B SpaceWire RouterRouter SpaceWire A to all Modules SpaceWire B to all Modules SpaceUM A SpaceUM B SMI & Clocks SMI & Clocks Power Power SMI & Clocks To 1-8 Modules SMI & Clocks To 1-8 Modules Power A and B Power A and B Power & Sys Controller Selects (fault tolerant) SpaceWire to other boxes SpaceWire to other boxes Optional data plane connections Optional data plane connections • Key element of SpaceVPX fault tolerance • Power channeled to System Controller which then directs powering of other modules • Uses System Management Interface (SMI) for chassis management then SpaceWire for Control Plane operations • SpaceWire router defined with System Controller may be separated with cross-strapping SpaceWire may be used as C&DH or medium speed data path within SpaceVPX box Distribution Statement A: Approved for Public Release
  • 29. 29 Controller Slot (with Data Plane Connection) Data-In Module Processing Module Data-In Module or Controller Module Controller Module Control Switch Module Control Switch ModulePossibility I Possibility II UtilizeUserDefinedPinsandTopofCard ConnectorsforAdditionalCapabilityAny Combination of Payloads with Controller or Control Switch is Possible Distribution Statement A: Approved for Public Release
  • 30. 30 Controller Slot (without Data Plane Connection) Controller Module Controller Module or Control Switch Module Control Switch Module Processing Module Storage Module Processing Module Possibility I Possibility II UtilizeUserDefinedPinsandTopofCard ConnectorsforAdditionalCapabilityAny Combination of Payloads with Controller or Control Switch is Possible Distribution Statement A: Approved for Public Release
  • 31. 31 Data Switch and Controller Slot Profile Controller Module Data Switch Module Controller Module Data Switch Module or Control Switch Module Control Switch Module Data Switch Module or Possibility I Possibility II Possibility III UtilizeUserDefinedPinsandTopofCard ConnectorsforAdditionalCapabilityAny Combination of Data Switch with Controller or Control Switch is Possible Distribution Statement A: Approved for Public Release
  • 32. 32 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 33. 33 Data Plane Switch Topology Distribution Statement A: Approved for Public Release
  • 34. 34 Data Plane in SpaceVPX • High-throughput, predictable data movement without interfering with other traffic • Examples: Serial RapidIO or PCI Express VPX 3 VPX 4 VPX 5 VPX 6 VPX 11 VPX 12 VPX 13 VPX 7 VPX 10 Expan Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Data Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Contrl Switch Contrl Switch TP Power A and B Switched Utility Plane (includes system management, reference clocks, reset and power) Control Plane (TP) Data Plane (FP) Expansion Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slots Payload slots VPX 14 Contrl Plane Expan Plane Data Plane UM 8-9 Controller Selection A and B VPX 2 Expan Plane Data Plane IPMC Data Switch Data Switch IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC Contrl Plane VPX 1 Expan Plane Data Plane Contrl Plane IPMC VPX 15 Contrl Plane Expan Plane Data Plane VPX 16 Contrl Plane Expan Plane Data Plane IPMC IPMC TP ChMC ChMC SW Switch& Controller Switch& Controller SpaceUM Slots Distribution Statement A: Approved for Public Release
  • 35. 35 Data Plane Mesh Topology Distribution Statement A: Approved for Public Release
  • 36. 36 Expansion Plane in SpaceVPX • Tightly coupled groups of boards and I/O • Heritage Interfaces (PCI) VPX 3 VPX 4 VPX 5 VPX 6 VPX 11 VPX 12 VPX 13 VPX 7 VPX 10 Expan Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Data Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Contrl Switch Contrl Switch TP Power A and B Switched Utility Plane (includes system management, reference clocks, reset and power) Control Plane (TP) Data Plane (FP) Expansion Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slots Payload slots VPX 14 Contrl Plane Expan Plane Data Plane UM 8-9 Controller Selection A and B VPX 2 Expan Plane Data Plane IPMC Data Switch Data Switch IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC Contrl Plane VPX 1 Expan Plane Data Plane Contrl Plane IPMC VPX 15 Contrl Plane Expan Plane Data Plane VPX 16 Contrl Plane Expan Plane Data Plane IPMC IPMC TP ChMC ChMC SW Switch& Controller Switch& Controller SpaceUM Slots Distribution Statement A: Approved for Public Release
  • 37. 37 Control Plane Switch Topology Distribution Statement A: Approved for Public Release
  • 38. 38 Control Plane in SpaceVPX • Reliable, packet-based communication for application control, exploitation data • Typically Gigabit Ethernet for Commercial and SpaceWire for Space Applications VPX 3 VPX 4 VPX 5 VPX 6 VPX 11 VPX 12 VPX 13 VPX 7 VPX 10 Expan Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Data Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Contrl Switch Contrl Switch TP Power A and B Switched Utility Plane (includes system management, reference clocks, reset and power) Control Plane (TP) Data Plane (FP) Expansion Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slots Payload slots VPX 14 Contrl Plane Expan Plane Data Plane UM 8-9 Controller Selection A and B VPX 2 Expan Plane Data Plane IPMC Data Switch Data Switch IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC Contrl Plane VPX 1 Expan Plane Data Plane Contrl Plane IPMC VPX 15 Contrl Plane Expan Plane Data Plane VPX 16 Contrl Plane Expan Plane Data Plane IPMC IPMC TP ChMC ChMC SW Switch& Controller Switch& Controller SpaceUM Slots Distribution Statement A: Approved for Public Release
  • 39. 39 Utility System Management in SpaceVPX • Low-power • Defined by VITA 46.0 and 46.11 • Prognosticates/diagnoses problems • Can control module power VPX 3 VPX 4 VPX 5 VPX 6 VPX 11 VPX 12 VPX 13 VPX 7 VPX 10 Expan Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Data Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Contrl Switch Contrl Switch TP Power A and B Switched Utility Plane (includes system management, reference clocks, reset and power) Control Plane (TP) Data Plane (FP) Expansion Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slots Payload slots VPX 14 Contrl Plane Expan Plane Data Plane UM 8-9 Controller Selection A and B VPX 2 Expan Plane Data Plane IPMC Data Switch Data Switch IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC Contrl Plane VPX 1 Expan Plane Data Plane Contrl Plane IPMC VPX 15 Contrl Plane Expan Plane Data Plane VPX 16 Contrl Plane Expan Plane Data Plane IPMC IPMC TP ChMC ChMC SW Switch& Controller Switch& Controller SpaceUM Slots Distribution Statement A: Approved for Public Release
  • 40. 40 Utility Clocks, Reset and Power in SpaceVPX • Power pins and various utility signals • Utility plane provides power, configuration, timing and management input signals using I2C, CMOS and LVDS levels VPX 3 VPX 4 VPX 5 VPX 6 VPX 11 VPX 12 VPX 13 VPX 7 VPX 10 Expan Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Data Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Contrl Plane Expan Plane Expan Plane Expan Plane Data Plane Data Plane Data Plane Contrl Switch Contrl Switch TP Power A and B Switched Utility Plane (includes system management, reference clocks, reset and power) Control Plane (TP) Data Plane (FP) Expansion Plane (DFP) Slot numbers are logical, physical slot numbers may be different Payload slots Payload slots VPX 14 Contrl Plane Expan Plane Data Plane UM 8-9 Controller Selection A and B VPX 2 Expan Plane Data Plane IPMC Data Switch Data Switch IPMC IPMC IPMC IPMC IPMC IPMCIPMC IPMC Contrl Plane VPX 1 Expan Plane Data Plane Contrl Plane IPMC VPX 15 Contrl Plane Expan Plane Data Plane VPX 16 Contrl Plane Expan Plane Data Plane IPMC IPMC TP ChMC ChMC SW Switch& Controller Switch& Controller SpaceUM Slots
  • 41. 41 Example of 6U SpaceVPX Backplane Profile Profile name Mechanical Slot Profiles and Section Channel Gbaud Rate Pitch (in) RTM Conn Payload Switch & Controller Control Plane Data Plane Exp. Plane BKP6-CEN9- 11.2.5-1 1.2 [VITA 46.10] SLT6-PAY- 4F1Q2T- 10.2.1 SLT6- SWC- 12F16T- 10.4.1 0.4 3.125 3.125 BKP6-CEN9- 11.2.5-2 1.2 [VITA 46.10] SLT6-PAY- 4F1Q2T- 10.2.1 SLT6- SWC- 12F16T- 10.4.1 0.4 5.0 5.0 BKP6-CEN9- 11.2.5-3 1.2 [VITA 46.10] SLT6-PAY- 4F1Q2T- 10.2.1 SLT6- SWC- 12F16T- 10.4.1 0.4 6.25 6.25 Distribution Statement A: Approved for Public Release
  • 42. 42 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 43. 43 SpaceVPX Concept Development • Three concepts developed • Concept 1 – Redefine an existing OpenVPX connector block as a second Utility/Management plane block (similar to P0/P1) • Incompatible with existing OpenVPX modules • Concept 2 – Extend the existing Open VPX connector by adding a second Utility/Management plane block (P7/P8 similar to P0/P1) • Extends module height from 6U to 6U+TBD • Partially compatible with existing OpenVPX modules • Concept 3 – Add one or more Space Utility/Management (SpaceUM) modules with independent support for each OpenVPX module • The SpaceUM module receives redundant Utility and Management Plane signals through the backplane and selects one set to be forwarded to the OpenVPX module Utility/Management plane signals • Allows use of existing OpenVPX modules in appropriate flight applications Distribution Statement A: Approved for Public Release
  • 44. 44 SpaceVPX Redundancy • Each of the interconnect planes defined by OpenVPX are supported by SpaceVPX as fully cross-strapped single-fault tolerant capable • Control, Data and Expansion plane redundancy is provided using the existing OpenVPX connectivity • Ability to utilize M-of-N payload module redundancy to support either higher reliability or degraded modes of operation • The SpaceVPX Utility and Management plane redundancy is a major departure from OpenVPX • OpenVPX uses single-source, bused power distribution • OpenVPX uses single-source, bused clock, reset and management distribution • Each interconnect plane can be implemented with reduced fault tolerance when desired Distribution Statement A: Approved for Public Release
  • 45. 45 SpaceVPX Fault Tolerance Goal SpaceVPX is to achieve an acceptable level of fault tolerance, while maintaining reasonable compatibility with OpenVPX components, including connector pin assignments. For the purposes of fault tolerance, a module is considered the minimum redundancy element. The Utility Plane, Management Plane, and Control Plane are all distributed redundantly and in star topologies to provide fault tolerance. For Space applications, the major fault tolerance requirements are listed below: • Dual-redundant power distribution (bussed) (Section 3.2.1) where each distribution is supplied from an independent power source. • Dual-redundant management distribution (point-to-point cross-strapped) where each distribution is supplied from an independent management controller to a SpaceUM module that selects between the A and B management controllers for distribution to each of the slots controlled by the SpaceUM module. • Card-level serial management (Section 3.4.3) • Card-level reset control • Card-level power control • Timing/synchronization/clocks, Matched length, low-skew differential (Section 3.4.2) • Fault tolerant Utility Plane selection (bussed) (Section 3.3) • Dual-redundant Data planes (point-to-point cross-strapped) • Dual-Redundant Control planes (point-to-point cross-strapped) (Section 3.2.3) • VITA 78 infrastructure allows for fully managed FRUs and for dumb FRUs Distribution Statement A: Approved for Public Release
  • 46. 46 Space Utility Management • Architecture • Provides single-fault-tolerance with limited increase in SWaP • One SpaceUM module supports eight VPX slots • Scalable to very large units • Maximum of 31 VPX slots and 4 SpaceUM slots • Dual-redundant power distribution • Allows traditional space methods • Dual-redundant management distribution • Traditional capability using improved methods • Accommodates supplier-preferred methods for implementing interoperable products • Topology • Traditional tree-topology is familiar to space suppliers • Flexible command/status interface options allow tailoring to customer needs Distribution Statement A: Approved for Public Release
  • 47. 47 System Management • Leverages the VITA 46.11 industry standard • Limited subset to minimize complexity • Defines an alternative light-weight protocol for less complex systems • Basic functions supported • Individual module power on-off control • Individual module reset control • Individual module status monitoring • Advanced capabilities • Module-level telemetry acquisition • Voltage, temperature, digital state, etc. • Module-level functional control • Sub-function power control, register access, memory access, etc. Distribution Statement A: Approved for Public Release
  • 48. 48 System Controller/Utility Plane Distribution Statement A: Approved for Public Release
  • 49. 49 SpaceVPX Management Distribution Topology System Controller A VPX Slot (ChMC) Select (discrete) SelectCircuit VPXSlot (IPMC) SelectCircuit VPXSlot (IPMC) SelectCircuit VPXSlot (IPMC) SelectCircuit VPXSlot (IPMC) SelectCircuit VPXSlot (IPMC) Management Select System Controller B VPX Slot (ChMC) ManagementFanoutB (IPMC) ManagementFanoutA (IPMC) SelectCircuit VPXSlot (IPMC) SelectCircuit VPXSlot (IPMC) SelectCircuitSelectCircuit SYS_RST System Management SYS_RST System Management Controller Select Distribution Statement A: Approved for Public Release
  • 50. 50 Power/Utility Plane Distribution Statement A: Approved for Public Release
  • 51. 51 SpaceVPX Power Distribution Topology Power Supply Power Supply System Controller A VPX Slot (ChMC) Select (discrete) SelectCircuit VPXSlot SelectCircuit VPXSlot SelectCircuit VPXSlot SelectCircuit VPXSlot SelectCircuit VPXSlot Power A Power B Power Select Utility Select System Controller B VPX Slot (ChMC) SelectCircuitSelectCircuit VPXSlotVPXSlot SelectCircuitSelectCircuit Distribution Statement A: Approved for Public Release
  • 52. 52 SpaceVPX 6U Power Profiles Key 1 Key 2 Key 3 Module Type Power Feeds (SpaceUM and PSC Only) Both: PF1-PF8, SpaceUM:PF17 Both:PF9-PF16, SpaceUM: PF18 SpaceU M: PF19 SpaceUM: PF20 Power Profile 270 270 Open Switch (3U Power) -- -- -- -- 270 315 Open Payload (3U Power) -- -- -- -- 315 270 Open Switch (6U Std Power) -- -- -- -- 315 315 Open Payload (6U Std Power) -- -- -- -- 90 0 0 SpaceUM 12 12 12 -12 12V 90 0 45 SpaceUM 12 12 3.3 -12 12V_3.3V 90 0 90 SpaceUM 12 12 5 -12 12V_5V 90 0 270 SpaceUM 12 5 3.3 -12 12V_5V_3.3V 90 45 0 SpaceUM 5 5 12 -12 5V_12V 90 45 45 SpaceUM 5 5 3.3 -12 5V_3.3V 90 45 90 SpaceUM 5 5 5 -12 5V 90 45 270 SpaceUM 5 3.3 12 -12 5V_3.3V_12V 90 90 0 SpaceUM 3.3 3.3 12 -12 3.3V_12V 90 90 45 SpaceUM 3.3 3.3 3.3 -12 3.3V 90 90 90 SpaceUM 3.3 3.3 5 -12 3.3V_5V 90 90 270 SpaceUM 3.3 12 5 -12 3.3V_12V_5V 90 270 0 SpaceUM 12 12 12 12 12V_max 90 270 45 SpaceUM 3.3 3.3 3.3 3.3 3.3V_max 90 270 90 SpaceUM 5 5 5 5 5V_max Distribution Statement A: Approved for Public Release
  • 53. 53 SpaceVPX 6U Power Profiles Key 1 Key 2 Key 3 Module Type Power Feeds (SpaceUM and PSC Only) Both: PF1-PF8, SpaceUM: PF17 Both: PF9-PF16, SpaceUM: PF18 SpaceUM: PF19 SpaceUM: PF20 Power Profile 90 270 270 SpaceUM NA NA NA NA 90 315 270 SpaceUM Intermediate Power Intermediate Power Intermediate Power Intermediate Power 0-18VDC 90 315 315 SpaceUM Intermediate Power Intermediate Power Intermediate Power Intermediate Power 18VDC-36VDC 0 0 0 PSC 18-36V Input 12 12 N/A N/A 12V 0 0 45 PSC 18-36V Input 12 12 N/A N/A 12V_n3.3V 0 0 90 PSC 18-36V Input 12 12 N/A N/A 12V_n5V 0 0 270 PSC 18-36V Input 12 5 N/A N/A 12V_5V_n3.3V 0 45 0 PSC 18-36V Input 5 5 N/A N/A 5V_n12V 0 45 45 PSC 18-36V Input 5 5 N/A N/A 5V_n3.3V 0 45 90 PSC 18-36V Input 5 5 N/A N/A 5V 0 45 270 PSC 18-36V Input 5 3.3 N/A N/A 5V_3.3V_n12V 0 90 0 PSC 18-36V Input 3.3 3.3 N/A N/A 3.3V_n12V 0 90 45 PSC 18-36V Input 3.3 3.3 N/A N/A 3.3V Distribution Statement A: Approved for Public Release
  • 54. 54 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 55. 55 Mechanical • General Form Factor – VITA 46 • Conduction Cooled Modules – VITA 48.2 • Updated figures • Screw and tab modules with addition of threaded holes • Separate extraction tool for non-levered modules • Pitch – 0.8”, 1.0”, 1.2” (default) • Multiple pitch cards may be used • 160 mm standard length • 220, 280 and 340 mm allowed • Accommodates larger wedgelock • Connections to PWB ground allowed • Connector – VITA 46, VITA 60 or VITA 63 may be used Strongly leverages existing OpenVPX mechanical infrastructure and standards Distribution Statement A: Approved for Public Release
  • 56. 56 6U SpaceUM Connector • Currently two vendors are designing and building SpaceUM connectors • TE Connectivity • Smith Connectors • Each SpaceUM connects to a maximum number of 8 slots. Distribution Statement A: Approved for Public Release
  • 57. 57 6U and 3U Form Factor Plug-In Unit Dimensions OpenVPX SpaceVPX Distribution Statement A: Approved for Public Release
  • 58. 58 VXS P0 Connector P0 connector supports channels for serial fabrics Distribution Statement A: Approved for Public Release
  • 59. 59 VPX Connector • Same type of connector as used for P0 of VXS • Used along entire edge of board instead of just a single connector VPX Connector for 6U Module Distribution Statement A: Approved for Public Release
  • 60. 60 VPX Cooling Options • OpenVPX references VITA 48 specifications for mechanical and cooling – ANSI/VITA 48.0 Mechanical Specification for Microcomputers Using Ruggedized Enhanced Design Implementation (REDI) – Base specification • Options where cooling air normally comes in contact with electronic components – ANSI/VITA 48.1 Air cooled – widely used • Can handle up to around 200 W per 6U module • Module pitch of .80, 0.85, and 1.00 inches allowed; 1.00 inches is the most common • Options where cooling air normally has no contact with electronic components – ANSI/VITA 48.2 Conduction Cooled – widely used • Limited to around 150 W per 6U module, with air-cooled chassis side walls (higher with liquid cooled walls) • Module pitch of .80, 0.85, and 1.00 inches allowed; 1.00 inches is the most common – ANSI/VITA 48.5 Air Flow Through (AFT) • Can handle up to around 200 W per 6U module • Module pitch of up to 1.52 inches allowed; looks like 1.2 inches is becoming a common pitch – ANSI/VITA 48.7 air flow-by • Can handle up to around 200 W per 6U module • Module pitch of .85, 1.00, 1.10, and 1.20 inches allowed; expect 1.0 to be the most common – VITA 48.4 Liquid Flow Through (LFT) – draft not yet available • Expected to handle up to 300 to 400 W per 6U module Distribution Statement A: Approved for Public Release
  • 61. 61 ANSI/VITA 48.2 Conduction Cooling • Limited to around 150 W per 6U x 160 mm module, with air-cooled side walls – This is not enough for some modules – With liquid cooled chassis sidewalls can handle more • Proven rugged approach with long heritage – Newer approaches tend to handle higher power levels or give lower junction temperature at the same power level • Lower junction temperatures tend to increase the MTBF (Mean Time Between Failure) • Suppliers tend to spec the temperature at the module’s edge (in according with ANSI/VITA 47) – Be aware there will be a drop between the card and the chassis side wall – this can be a performance limiter • The temperature drop from module edge to chassis rail is a significant part of the budget, e.g. 55ºC air at 10,000 ft. to a card edge of 85ºC max = 30ºC budget Primary Cover PWB Diagrams from Mercury Systems presentation given at Sept 2012 VITA Standards meeting Distribution Statement A: Approved for Public Release
  • 62. 62 VITA Connector Options • 3 VITA standard connectors as candidates (TE Connectivity, Amphenol, and Smith Connectors). • A fourth candidate is under development (IEH Corp.); however, the product is nascent. • The SpaceVPX (VITA 78) did not make a connector recommendation. • Insufficient information largely the reason for a “No Go” on a recommendation. • For additional info see VPX connector reports – Available at: http://www.vita.com/VPX_Reports Distribution Statement A: Approved for Public Release
  • 63. 63 Plug-in Module Vibration Response • In addition micro-motion of Plug-In module being a potential cause of connector fretting wear/corrosion, backplane micro-motion can also be an issue. 1st Mode Shape Daughtercard connectorDaughtercard PWB (no vibration) Backplane PWB Backplane connector Direction of applied vibration (Z-axis of daughtercard) Direction of applied vibration (Z-axis of daughtercard) Possible fretting wear/corrosion of contacts due to micromotion Most of the slide content is from a Curtiss-Wright presentation given at Nov 2012 VITA Standards meeting Distribution Statement A: Approved for Public Release
  • 64. 64 Fretting Corrosion with Original RT2 Connector Resulting From Torturous Vibration Testing • Fretting corrosion is contact wear due to motion of backplane with respect to Plug-In Module • Fretting can be an issue for systems operating in high vibration environments • Fretting can be exacerbated by a poor design and/or poor fabrication • Pictures show wafers from RT2 connectors, Plug-In Module side, subjected to torturous vibration testing • Mercury and TE have developed the RT2-R connector to reduce the susceptibility to vibration • RT2 contacts wore through Gold, Nickel, & Copper pads on wafer (Plug-In Module side) • Believe two rows of holes as opposed to one because board was unplugged and plugged part way through testing (and did not seat in exactly the same place) or board moved during testing. Pictures from Mercury Systems presentation given at Sept 2012 VITA Standards meeting and courtesy of TE Connectivity Connector wafer Distribution Statement A: Approved for Public Release
  • 65. 65 RT2-R Enhanced Contact Design (Backplane Side) • RT2-R is more rugged than RT2 – Changes made to both backplane and Plug-In Module sides – Backplane side pins changed from 2 points of contact to 4 • RT2 and RT2-R inter-mate and PWB footprints are the same MULTIGIG RT2 2 Contact Points MULTIGIG RT2-R 4 Contact Points Pictures courtesy of TE Connectivity Distribution Statement A: Approved for Public Release
  • 66. 66 RT2-R Enhancement Extended Pads (Plug-In Module Side) Plug-In Module wafer Ground pad RT 2 RT 2-R (Extended pads) • RT 2 and RT 2-R VPX Plug-In Module wafers are plated with .000050” min gold over nickel. – Note: TE Connectivity uses the term “Daughter card” to refer to what VITA 65 refers to as a “Plug-In Module” • RT 2-R signal pads extended 1.20mm to maintain at least 2 mm contact wipe with all 4 contact points. • RT 2 Plug-In Module connectors can be used with RT 2-R backplane connectors and maintain 4 point redundancy if connectors are within 0.5 mm of being fully mated in application. Pictures courtesy of TE Connectivity 22.31 mm (0.878”) Distribution Statement A: Approved for Public Release
  • 67. 67 Minimizing Fretting Requires Attention to More Than Just Connectors • Movement of Plug-In Module with respect to backplane must be minimized – Consider stiffness of backplane – backplane bowing can be an issue – Pay close attention to all mechanical aspects of Plug-In module, backplane and chassis that can affect motion of Plug-In Module connectors with respect to backplane connectors • TE has “Ruggedized” guide hardware – machined hardware as opposed to die cast – Stainless steel guide pin – Aluminum (6061) guide socket (9.0 mm wide, 0.354”) • Ni plated • With optional ESD contact Guide Module Assembly Shown w/ optional ESD contact (2000713-X)Pictures courtesy of TE Connectivity Distribution Statement A: Approved for Public Release
  • 68. OpenVPX Tutorial-68 20 Jan. 2016 DISTRIBUTION STATEMENT A. Approved for public release; distribution is unlimited. Minimizing Fretting Requires Attention to More Than Just Connectors • Movement of Plug-In Module with respect to backplane must be minimized – Consider stiffness of backplane – backplane bowing can be an issue – Pay close attention to all mechanical aspects of Plug-In module, backplane and chassis that can affect motion of Plug-In Module connectors with respect to backplane connectors • TE has “Ruggedized” guide hardware – machined hardware as opposed to die cast – Stainless steel guide pin – Aluminum (6061) guide socket (9.0 mm wide, 0.354”) • Ni plated • With optional ESD contact Guide Module Assembly Shown w/ optional ESD contact (2000713-X)Pictures courtesy of TE Connectivity Links: VXS P0, Pin Numbering, RTM, Slot Profiles, Connector, Contacts, RT2-R Wafer
  • 69. 69 Outline • What is SpaceVPX? • SpaceVPX Use Cases and Topologies • SpaceVPX Slot Profiles • SpaceVPX Backplane Profile • SpaceUM - Provisions for Redundancy and Fault Tolerance • SpaceVPX Mechanical General Specifications • Backup Distribution Statement A: Approved for Public Release
  • 70. 70 Distribution A. Approved for public release: distribution unlimited Questions?

Notas do Editor

  1. Presenter: Do not speak to agenda.
  2. Presenter: Do not speak to agenda.
  3. Presenter: Do not speak to agenda.
  4. Presenter: Do not speak to agenda.
  5. Presenter: Do not speak to agenda.
  6. A. Data enters the system through a Data In Module. The next four letters represent potential data transfers between the main payload functions within a SpaceVPX system. B. Data from the Data in Module can be transmitted to the Processing Module. Processed data from the Processing Module can be stored in the Storage Module. Data from the Storage Module can be routed to the Data Out Module. C. The Processor Module can access data from the Storage Module D. Data from the Data In Module can be routed directly to the Storage Module. Data from the Processing Module can be routed directly to the Data Out Module. E. Data from the Data In Module can be routed directly to the Data Out Module. F. Data routed to the Data Out Module can then be transmitted out of the system. G. For fault tolerance, there will be at least one spare of each function. These redundant payloads will have the same data routing as the primary payloads so that no error will reduce capabilities. Though not shown, more than one of each function type may be present and M of N sparing (minimum 1 of 2) may be implemented for any payload type. H. There will also be redundant external inputs and outputs to and from the SpaceVPX system. These are typically cross-strapped between redundant elements. I. Finally, there will be typically be cross-strapping between the primary and redundant payload groups so that any one payload can fail and the remaining payloads can operate with sufficient interconnects for any combination of primary and redundant elements.
  7. A. The primary Data Switch Module has a connection to every primary and redundant Payload Module. The Data Switch allows multiple simultaneous connections. B. The redundant Data Switch Module has a connection to every primary and redundant Payload Module
  8. Presenter: Do not speak to agenda.
  9. Presenter: Do not speak to agenda.
  10. Presenter: Do not speak to agenda.
  11. Presenter: Do not speak to agenda.
  12. Presenter: Do not speak to agenda.
  13. The slot (SLT6-PAY-4F1Q2T-10.2.1) profile listed above provides flexibility depending upon the implementation sought. The functions provided in the Expansion Plane Use Case, with some pieces of it shown on this slide are accommodated by this slot profile. It is possible to have the slot profile provide these functions: The slot profile could provide Data-In and Data-Out functionality The slot profile could provide Data-In and Processing functionality The slot profile could provide Data-In, Processing, and Storage functionality This means that on slot profile could provide multiple functions as shown above.
  14. The slot (SLT6-PAY-4F1Q2T-10.2.1) profile listed above provides flexibility depending upon the implementation sought. The functions provided in the Expansion Plane Use Case, with some pieces of it shown on this slide are accommodated by this slot profile. It is possible to have the slot profile provide these functions: The slot profile could provide Data-In and Data-Out functionality The slot profile could provide Data-In and Processing functionality The slot profile could provide Data-In, Processing, and Storage functionality This means that on slot profile could provide multiple functions as shown above.
  15. This slot profile (SLT6-CON-4F16T-10.6.1) should accommodate some level of flexibility that allows for the combining multiple functions on a single board – as shown above. Then it is possible to provide: A single slot that is capable of Data-In, Control Switch, Controller functionality A single slot that is capable of Data-In, Processing, Control Switch, Controller functionality So depending upon the implementation need, you are able to provide multiple functions on a single board. This reduces the card count and the size of the box.
  16. This slot profile (SLT6-CON-16T-10.6.2) should accommodate some level of flexibility that allows for the combining multiple functions on a single board – as shown above. Then it is possible to provide: A single slot that is capable of Processing, Control Switch, Controller functionality A single slot that is capable of Storage, Processing, Control Switch, Controller functionality So depending upon the implementation need, you are able to provide multiple functions on a single board. This reduces the card count and the size of the box.
  17. This slot profile (SLT6-SWC-12F16T-10.4.1) should accommodate some level of flexibility that allows for the combining multiple functions on a single board – as shown above. Then it is possible to provide: A single slot that is capable of Controller and Data Switch functionality A single slot that is capable of Control Switch ad Data Switch functionality A single slot that is capable of Controller, Control Switch, and Data Switch functionality So depending upon the implementation need, you are able to provide multiple functions on a single board. This reduces the card count and the size of the box.
  18. Presenter: Do not speak to agenda.
  19. A. The primary Data Switch Module has a connection to every primary and redundant Payload Module. The Data Switch allows multiple simultaneous connections. B. The redundant Data Switch Module has a connection to every primary and redundant Payload Module
  20. A. The Data In Module has a direct data plane connection to every other Payload module. B. The Processing Module has a direct data plane connection to every other Payload module. C. Similar connections are provided between all other Payload modules. Data can be transferred simultaneously on all links.
  21. A. The Data In Module has a direct data plane connection to every other Payload module. B. The Processing Module has a direct data plane connection to every other Payload module. C. Similar connections are provided between all other Payload modules. Data can be transferred simultaneously on all links.
  22. Presenter: Do not speak to agenda.
  23. Presenter: Do not speak to agenda.
  24. Presenter: Do not speak to agenda.
  25. Presenter: Do not speak to agenda.
  26. Presenter: Do not speak to agenda.
  27. Presenter: Do not speak to agenda.
  28. Presenter: Do not speak to agenda.
  29. Presenter: Do not speak to agenda.