SlideShare uma empresa Scribd logo
1 de 6
Baixar para ler offline
Computer Engineering and Intelligent Systems                                                   www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
Vol 3, No.4, 2012

 Dynamic Instruction Scheduling For Microprocessors Having
                  Out Of Order Execution
                             Suresh Kumar, Vishal Gupta*, Vivek Kumar Tamta
         Department of Computer Science, G. B. Pant Engineering College, Pauri, Uttarakhand, INDIA
    * E-mail of the corresponding author: vishalgupta87@gmail.com


Abstract


Dynamic Instruction Scheduling is very much needed for fast working of multiprocessor and reduction of
overhead by the processor. The Instruction scheduling logic mainly depends on associative searching of the
entries to the dynamic wakeup instructions for the execution. We also describes the scheduler concept
which also the concern for scalability and complexity of the multiprocessor. We have different Dynamic
Instruction Scheduling Logic highlighting the objectives, goals, advantages and challenges facing during
scheduling logic like energy issues and complexity issues as well as full description of dynamic instruction
Scheduling logic.
In this paper, we will be presented in a comprehensive analysis to reschedule the execution order of
instructions for improve the performance of microprocessor.
General Terms: Design, Performance, Measurement
Keywords: Dynamic Instruction Scheduling, Instruction Grouping, Issue Queue.




1. Introduction


General purpose microprocessors usually apply superscalar and out-of-order execution. These
microprocessors should dynamically extract instruction level parallelism (ILP) for high performance
because they are required to execute various types of programs efficiently and must also have to run a
number of legacy binary codes.
However, dynamic instruction scheduling logic for out-of-order execution has a serious problem in that it
consumes a significant amount of energy due to the complicated nature of its hardware logic.
We propose a micro architectural technique and hardware implementation to reduce the complexity and
energy consumption of dynamic instruction scheduling logic by grouping instructions together in the
instruction queue. The concept of the proposed method is to group several instructions together and let the
dynamic instruction scheduling logic treats them as a single instruction. Thus, grouping should be
performed in the dispatch stage when instructions are written into the instruction queue. In the present
paper, we propose the grouping of two instructions by using dependence information. If issuing one
instruction is the only requirement for starting the other instruction, this pair will be grouped together. By
treating the grouped instructions as a single instruction, dynamic instruction scheduling logic becomes
capable of holding and issuing a greater number of instructions without increasing the size or number of
ports of the instruction queue or the selection logic.


2. Logic behind Scheduling
This shows the conventional dynamic instruction scheduling logic of a four-way out-of-order superscalar
processor. The right hand side of the figure shows the instruction queue, and the blue line indicates a single

                                                     10
Computer Engineering and Intelligent Systems                                                    www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
Vol 3, No.4, 2012

entry, which is composed of the CAM logic for tag matching and the payload RAM (op codes, physical
register number, offsets, etc.). The left-hand side shows the selection logic.
The instruction queue has four read and write ports for dispatch and issue, respectively, and the selection
logic has the ability to select four instructions per cycle.


3. Proposed Technique
The objective of this study is to reduce the complexity and energy consumption of dynamic instruction
scheduling. We propose the grouping of several instructions together in order to reduce the required
hardware of dynamic instruction scheduling.
A. Grouping Condition
By grouping two instructions, waking up, selecting, and issuing only the first instruction leads to the
issuing of both instructions because the second instruction should be issued in the cycle following the first
instruction. We decided to group a single latency operation for the first instruction because this can
simplify the logic and the hardware used to support the proposed technique, which is described in Section
C. The candidate of grouping, the latency of which is single cycle, is an integer ALU operation. We are
required to implement the proposed technique in the instruction queue, in which the integer instructions are
dispatched. The details of hardware implementation of the proposed dynamic instruction scheduling logic
are described in Section C. Instruction pairs, which are candidates of the proposed grouping technique, can
be classified into the following two types.
[I] The issuing of one instruction is the only requirement for issuing the other instruction.
[II] Both instructions can be issued in the same cycle.
We first discuss about Type [I], which consists of two different types of pairs, each of which will be
explained using an example.
Instruction A: add r5 ← r3, r2
Instruction B: add r4 ← r5, R             (1)
We can see that right operand of Instruction B is ready, and the left operand r5 is the destination of
Instruction A. Therefore, only the condition of issuing Instruction A enables Instruction B to be issued in
the next cycle.
The pair of instructions below also belongs to Type [I].
Instruction A : add r5 ← r3, r2
Instruction B : add r4 ← r5, r3           (2)
The left operand of Instruction B is the destination of Instruction A and is the same as Example (1).
Furthermore, the right operand r3 of Instruction B is the left operand of Instruction A, so if Instruction A is
ready for issue, r3 is also ready. For this reason, the above instructions can be grouped together, as in the
case of Example (1). Next, we describe Type [II]. The instructions of Example (3), given below, are both
ready for issue, because both the left and right operands are ready. Since both instructions are ready, they
can be grouped, although there are no data dependencies between these two instructions. In this case, the
previous instruction in program order is issued first. When grouping is not performed, these two
instructions might be able to be executed in the same cycle. Therefore, the second instruction will be issued
one cycle later and may cause performance degradation. However, in the proposed technique, it is
important to obtain a high throughput by grouping as many instructions as possible. Moreover, ready
instructions usually stay in the instruction queue for only a short time, and most of these instructions are not
critical to the performance. Thus, even if the second instruction is issued one cycle later, there is almost no
performance degradation. Therefore, we group ready instructions. Although more patterns that belong to
the above two types exist, we decided to group only three types of pairs described above.
Instruction A : add r1 ← R, R


                                                      11
Computer Engineering and Intelligent Systems                                                   www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
Vol 3, No.4, 2012

Instruction B : add r2 ← R, R            (3)
B.       Improved Implementation
We resolve the low flexibility of the dispatch stage, which is a fundamental problem of the dynamic
instruction scheduling of Figure 1.


4. Experimental Setup
We used the Simple Scalar Tool Set as the base simulation environment. We extended Simple Scalar so
that the proposed microarchitecture was evaluated. For estimating the energy consumption, we used the
Wattch extension. We used all of the programs of the SPEC CPU2000 integer benchmark suite. The
programs were compiled by the DEC C compiler for Alpha AXP instruction set architecture (ISA). We
fast-forwarded two billion instructions and simulated 200 million instructions for all of the evaluated
programs.
Table 1 shows the processor configuration assumptions used for the evaluation. In order to group several
instructions, we assumed an integer-load/store queue. Both the integer and load/store instructions are
dispatched to this queue. By this assumption, we can group not only two integer ALU operations, but also
the integer ALU operation for the first instruction and a load instruction for the second instruction. The size
of the integer-load/store queue was varied throughout the evaluation from 16 to 128. We ignored the energy
consumption of the additional hardware as negligible.


5. Result
A. Performance
As seen from the figure 3, the IPC degrades gradually as the instruction queue size decreases. This is
because the number of instructions to execute in parallel in order to exploit ILP is limited by the lack of
free entry of the instruction queue.
B. Energy Consumption
The proposed technique saves energy due to the reduced instructions to select and issue, which simplifies
the instruction scheduling logic. Figure 4 presents the average energy savings of the instruction scheduling
logic of FULL (denotes new proposed logic). In 48-entry FULL, the energy saving was 56%, 7%, 52%, and
58% for dispatch, wake-up, select, and issue, respectively, compared to the conventional dynamic
instruction scheduling logic. Except for the wake-up stage, the reduction in energy consumption is large. In
the case that energy consumption of the wake-up stage is dominant; we should consider halving the number
of entries of the proposed implementation in order to reduce the energy consumption of the wake-up logic.


7. Conclusion
In the present work, we introduced a dynamic instruction scheduling concept that groups several
instructions. The proposed technique enables that logic to hold and issue more instructions without
increasing the size or number of ports and energy consumption is also reduced by grouping the instructions.




References
T. M. Austin, E. Larson, and D. Ernst. Simple scalar: An infrastructure for computer system modeling.
IEEE Computer, 35(2):59–67, 2002.
Hiroshi Sasaki, Masaaki Kondo and Hiroshi Nakamura. Energy-Efficient Dynamic Instruction scheduling

                                                      12
Computer Engineering and Intelligent Systems                                                www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
Vol 3, No.4, 2012

logic through instruction grouping. IEEE Transactions on VLSI Systems, Vol. 17, No. 6, 2009
A. Buyuktosunoglu, T. Karkhanis, D. H. Albonesi, and P. Bose. Energy efficient co-adaptive instruction
fetch and issue. In ISCA, pp. 147–156, 2003.
R. Canal and A. Gonz´alez. Reducing the complexity of the issue logic. In ICS, pp. 312–320, 2001.
D. Folegnani and A. Gonz´alez. Energy-effective issue logic. In ISCA, pp. 230–239, 2001.
P. Michaud and A. Seznec. Data-flow prescheduling for large instruction windows in out-of-order
processors. In HPCA, pp. 27–36, 2001.
J. J. Sharkey and D. V. Ponomarev. Efficient instruction schedulers for smt processors. In HPCA, 2006.
J. J. Sharkey, D. V. Ponomarev, K. Ghose, and O. Ergin. Instruction packing: reducing power and delay of
the dynamic scheduling logic. In ISLPED, pp. 30–35, 2005.
S. A. Taylor, M. Quinn, D. Brown, N. Dohm, S. Hildebrandt, J. Huggins, and C. Ramey. Functional
verification of a multiple-issue, out-of-order, superscalar alpha processor - the dec alpha 21264
microprocessor. In DAC, pp. 638–643, 1998.




                               Figure 1: Conventional dynamic scheduling




                               Figure 2: Showing grouping of Instructions




                                                   13
Computer Engineering and Intelligent Systems                                  www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
Vol 3, No.4, 2012




                                       Figure 3: Average IPC




                                Figure 4: Average Energy of FULL

                    Fetch & Decode width          4
                    Branch prediction             Combined bimodal (4K-
                                                  entry) gshare (4K-entry),
                                                  selector(4K-entry)
                    BTB                           1,024 sets, four-way
                    Mis-prediction penalty        three cycles
                    Reorder buffer size           96
                    Memory latency                100 cycles
                    Bus width                     16B
                    Bus clock                     1/4 of processor the core
                    Instruction queue size        32
                    - floating-point
                    Commit Width                   4
                                   Table 1: Processor configuration




                                                 14
International Journals Call for Paper
The IISTE, a U.S. publisher, is currently hosting the academic journals listed below. The peer review process of the following journals
usually takes LESS THAN 14 business days and IISTE usually publishes a qualified article within 30 days. Authors should
send their full paper to the following email address. More information can be found in the IISTE website : www.iiste.org

Business, Economics, Finance and Management               PAPER SUBMISSION EMAIL
European Journal of Business and Management               EJBM@iiste.org
Research Journal of Finance and Accounting                RJFA@iiste.org
Journal of Economics and Sustainable Development          JESD@iiste.org
Information and Knowledge Management                      IKM@iiste.org
Developing Country Studies                                DCS@iiste.org
Industrial Engineering Letters                            IEL@iiste.org


Physical Sciences, Mathematics and Chemistry              PAPER SUBMISSION EMAIL
Journal of Natural Sciences Research                      JNSR@iiste.org
Chemistry and Materials Research                          CMR@iiste.org
Mathematical Theory and Modeling                          MTM@iiste.org
Advances in Physics Theories and Applications             APTA@iiste.org
Chemical and Process Engineering Research                 CPER@iiste.org


Engineering, Technology and Systems                       PAPER SUBMISSION EMAIL
Computer Engineering and Intelligent Systems              CEIS@iiste.org
Innovative Systems Design and Engineering                 ISDE@iiste.org
Journal of Energy Technologies and Policy                 JETP@iiste.org
Information and Knowledge Management                      IKM@iiste.org
Control Theory and Informatics                            CTI@iiste.org
Journal of Information Engineering and Applications       JIEA@iiste.org
Industrial Engineering Letters                            IEL@iiste.org
Network and Complex Systems                               NCS@iiste.org


Environment, Civil, Materials Sciences                    PAPER SUBMISSION EMAIL
Journal of Environment and Earth Science                  JEES@iiste.org
Civil and Environmental Research                          CER@iiste.org
Journal of Natural Sciences Research                      JNSR@iiste.org
Civil and Environmental Research                          CER@iiste.org


Life Science, Food and Medical Sciences                   PAPER SUBMISSION EMAIL
Journal of Natural Sciences Research                      JNSR@iiste.org
Journal of Biology, Agriculture and Healthcare            JBAH@iiste.org
Food Science and Quality Management                       FSQM@iiste.org
Chemistry and Materials Research                          CMR@iiste.org


Education, and other Social Sciences                      PAPER SUBMISSION EMAIL
Journal of Education and Practice                         JEP@iiste.org
Journal of Law, Policy and Globalization                  JLPG@iiste.org                       Global knowledge sharing:
New Media and Mass Communication                          NMMC@iiste.org                       EBSCO, Index Copernicus, Ulrich's
Journal of Energy Technologies and Policy                 JETP@iiste.org                       Periodicals Directory, JournalTOCS, PKP
Historical Research Letter                                HRL@iiste.org                        Open Archives Harvester, Bielefeld
                                                                                               Academic Search Engine, Elektronische
Public Policy and Administration Research                 PPAR@iiste.org                       Zeitschriftenbibliothek EZB, Open J-Gate,
International Affairs and Global Strategy                 IAGS@iiste.org                       OCLC WorldCat, Universe Digtial Library ,
Research on Humanities and Social Sciences                RHSS@iiste.org                       NewJour, Google Scholar.

Developing Country Studies                                DCS@iiste.org                        IISTE is member of CrossRef. All journals
Arts and Design Studies                                   ADS@iiste.org                        have high IC Impact Factor Values (ICV).

Mais conteúdo relacionado

Mais procurados

DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTING
DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTINGDYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTING
DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTINGcscpconf
 
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarks
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarksVauhkonenVohraMadaan-ProjectDeepLearningBenchMarks
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarksMumtaz Hannah Vauhkonen
 
IRJET- Latin Square Computation of Order-3 using Open CL
IRJET- Latin Square Computation of Order-3 using Open CLIRJET- Latin Square Computation of Order-3 using Open CL
IRJET- Latin Square Computation of Order-3 using Open CLIRJET Journal
 
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayArea And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayEditor IJMTER
 
LCU13: Power-efficient scheduling, and the latest news from the kernel summit
LCU13: Power-efficient scheduling, and the latest news from the kernel summitLCU13: Power-efficient scheduling, and the latest news from the kernel summit
LCU13: Power-efficient scheduling, and the latest news from the kernel summitLinaro
 
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...IRJET Journal
 
Building impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerBuilding impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerJournal Papers
 
(Paper) Task scheduling algorithm for multicore processor system for minimiz...
 (Paper) Task scheduling algorithm for multicore processor system for minimiz... (Paper) Task scheduling algorithm for multicore processor system for minimiz...
(Paper) Task scheduling algorithm for multicore processor system for minimiz...Naoki Shibata
 
Design and Implementation of Efficient Ternary Content Addressable Memory
Design and Implementation of Efficient Ternary Content Addressable Memory Design and Implementation of Efficient Ternary Content Addressable Memory
Design and Implementation of Efficient Ternary Content Addressable Memory ijcisjournal
 
A study on dynamic load balancing in grid environment
A study on dynamic load balancing in grid environmentA study on dynamic load balancing in grid environment
A study on dynamic load balancing in grid environmentIJSRD
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...IRJET Journal
 

Mais procurados (19)

05725150
0572515005725150
05725150
 
DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTING
DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTINGDYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTING
DYNAMIC TASK PARTITIONING MODEL IN PARALLEL COMPUTING
 
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarks
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarksVauhkonenVohraMadaan-ProjectDeepLearningBenchMarks
VauhkonenVohraMadaan-ProjectDeepLearningBenchMarks
 
IRJET- Latin Square Computation of Order-3 using Open CL
IRJET- Latin Square Computation of Order-3 using Open CLIRJET- Latin Square Computation of Order-3 using Open CL
IRJET- Latin Square Computation of Order-3 using Open CL
 
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation DelayArea And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay
 
H046014853
H046014853H046014853
H046014853
 
LCU13: Power-efficient scheduling, and the latest news from the kernel summit
LCU13: Power-efficient scheduling, and the latest news from the kernel summitLCU13: Power-efficient scheduling, and the latest news from the kernel summit
LCU13: Power-efficient scheduling, and the latest news from the kernel summit
 
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...
Scheduling of Heterogeneous Tasks in Cloud Computing using Multi Queue (MQ) A...
 
Power aware compilation
Power aware compilationPower aware compilation
Power aware compilation
 
Building impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturerBuilding impedance matching network based on s parameter from manufacturer
Building impedance matching network based on s parameter from manufacturer
 
Remote core locking (rcl)
Remote core locking (rcl)Remote core locking (rcl)
Remote core locking (rcl)
 
Ijariie1161
Ijariie1161Ijariie1161
Ijariie1161
 
(Paper) Task scheduling algorithm for multicore processor system for minimiz...
 (Paper) Task scheduling algorithm for multicore processor system for minimiz... (Paper) Task scheduling algorithm for multicore processor system for minimiz...
(Paper) Task scheduling algorithm for multicore processor system for minimiz...
 
Design and Implementation of Efficient Ternary Content Addressable Memory
Design and Implementation of Efficient Ternary Content Addressable Memory Design and Implementation of Efficient Ternary Content Addressable Memory
Design and Implementation of Efficient Ternary Content Addressable Memory
 
A study on dynamic load balancing in grid environment
A study on dynamic load balancing in grid environmentA study on dynamic load balancing in grid environment
A study on dynamic load balancing in grid environment
 
Bn26425431
Bn26425431Bn26425431
Bn26425431
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
 
itsc_final
itsc_finalitsc_final
itsc_final
 
J045075661
J045075661J045075661
J045075661
 

Destaque

Cis017 6 revision-parallel_2015
Cis017 6 revision-parallel_2015Cis017 6 revision-parallel_2015
Cis017 6 revision-parallel_2015abdullah al-Thani
 
FLARE Critical Design Review
FLARE Critical Design ReviewFLARE Critical Design Review
FLARE Critical Design ReviewRichard Horta
 
Scaling Systems for Research Computing
Scaling Systems for Research ComputingScaling Systems for Research Computing
Scaling Systems for Research Computingadamkraut
 
Bernoulli's Principle
Bernoulli's PrincipleBernoulli's Principle
Bernoulli's Principleazfa
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architecturesA B Shinde
 
Advanced computer architecture lesson 5 and 6
Advanced computer architecture lesson 5 and 6Advanced computer architecture lesson 5 and 6
Advanced computer architecture lesson 5 and 6Ismail Mukiibi
 

Destaque (6)

Cis017 6 revision-parallel_2015
Cis017 6 revision-parallel_2015Cis017 6 revision-parallel_2015
Cis017 6 revision-parallel_2015
 
FLARE Critical Design Review
FLARE Critical Design ReviewFLARE Critical Design Review
FLARE Critical Design Review
 
Scaling Systems for Research Computing
Scaling Systems for Research ComputingScaling Systems for Research Computing
Scaling Systems for Research Computing
 
Bernoulli's Principle
Bernoulli's PrincipleBernoulli's Principle
Bernoulli's Principle
 
System on chip architectures
System on chip architecturesSystem on chip architectures
System on chip architectures
 
Advanced computer architecture lesson 5 and 6
Advanced computer architecture lesson 5 and 6Advanced computer architecture lesson 5 and 6
Advanced computer architecture lesson 5 and 6
 

Semelhante a 11.dynamic instruction scheduling for microprocessors having out of order execution

Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...
Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...
Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...IDES Editor
 
Hennchthree 161102111515
Hennchthree 161102111515Hennchthree 161102111515
Hennchthree 161102111515marangburu42
 
Chapter 04 the processor
Chapter 04   the processorChapter 04   the processor
Chapter 04 the processorBảo Hoang
 
A survey of paradigms for building and
A survey of paradigms for building andA survey of paradigms for building and
A survey of paradigms for building andcseij
 
Pipelining in Computer System Achitecture
Pipelining in Computer System AchitecturePipelining in Computer System Achitecture
Pipelining in Computer System AchitectureYashiUpadhyay3
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorVLSICS Design
 
Paper on experimental setup for verifying - "Slow Learners are Fast"
Paper  on experimental setup for verifying  - "Slow Learners are Fast"Paper  on experimental setup for verifying  - "Slow Learners are Fast"
Paper on experimental setup for verifying - "Slow Learners are Fast"Robin Srivastava
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...ijasuc
 
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...IJECEIAES
 
Pipelining and vector processing
Pipelining and vector processingPipelining and vector processing
Pipelining and vector processingKamal Acharya
 
A Lightweight Instruction Scheduling Algorithm For Just In Time Compiler
A Lightweight Instruction Scheduling Algorithm For Just In Time CompilerA Lightweight Instruction Scheduling Algorithm For Just In Time Compiler
A Lightweight Instruction Scheduling Algorithm For Just In Time Compilerkeanumit
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture designssuser87fa0c1
 
Implementation of area optimized low power multiplication and accumulation
Implementation of area optimized low power multiplication and accumulationImplementation of area optimized low power multiplication and accumulation
Implementation of area optimized low power multiplication and accumulationkarthik annam
 

Semelhante a 11.dynamic instruction scheduling for microprocessors having out of order execution (20)

Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...
Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...
Implementing True Zero Cycle Branching in Scalar and Superscalar Pipelined Pr...
 
Hennchthree
HennchthreeHennchthree
Hennchthree
 
Hennchthree 161102111515
Hennchthree 161102111515Hennchthree 161102111515
Hennchthree 161102111515
 
Chapter 04 the processor
Chapter 04   the processorChapter 04   the processor
Chapter 04 the processor
 
A survey of paradigms for building and
A survey of paradigms for building andA survey of paradigms for building and
A survey of paradigms for building and
 
PID2143641
PID2143641PID2143641
PID2143641
 
Pipelining in Computer System Achitecture
Pipelining in Computer System AchitecturePipelining in Computer System Achitecture
Pipelining in Computer System Achitecture
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
 
Paper on experimental setup for verifying - "Slow Learners are Fast"
Paper  on experimental setup for verifying  - "Slow Learners are Fast"Paper  on experimental setup for verifying  - "Slow Learners are Fast"
Paper on experimental setup for verifying - "Slow Learners are Fast"
 
Different addressing mode and risc, cisc microprocessor
Different addressing mode and risc, cisc microprocessorDifferent addressing mode and risc, cisc microprocessor
Different addressing mode and risc, cisc microprocessor
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...
 
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...
Reinforcement learning based multi core scheduling (RLBMCS) for real time sys...
 
C017241316
C017241316C017241316
C017241316
 
Pipelining and vector processing
Pipelining and vector processingPipelining and vector processing
Pipelining and vector processing
 
A Lightweight Instruction Scheduling Algorithm For Just In Time Compiler
A Lightweight Instruction Scheduling Algorithm For Just In Time CompilerA Lightweight Instruction Scheduling Algorithm For Just In Time Compiler
A Lightweight Instruction Scheduling Algorithm For Just In Time Compiler
 
pipeline in computer architecture design
pipeline in computer architecture  designpipeline in computer architecture  design
pipeline in computer architecture design
 
Pipeline Computing by S. M. Risalat Hasan Chowdhury
Pipeline Computing by S. M. Risalat Hasan ChowdhuryPipeline Computing by S. M. Risalat Hasan Chowdhury
Pipeline Computing by S. M. Risalat Hasan Chowdhury
 
Implementation of area optimized low power multiplication and accumulation
Implementation of area optimized low power multiplication and accumulationImplementation of area optimized low power multiplication and accumulation
Implementation of area optimized low power multiplication and accumulation
 

Mais de Alexander Decker

Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Alexander Decker
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inAlexander Decker
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesAlexander Decker
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksAlexander Decker
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dAlexander Decker
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceAlexander Decker
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifhamAlexander Decker
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaAlexander Decker
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenAlexander Decker
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksAlexander Decker
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget forAlexander Decker
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabAlexander Decker
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...Alexander Decker
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalAlexander Decker
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesAlexander Decker
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbAlexander Decker
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloudAlexander Decker
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveragedAlexander Decker
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenyaAlexander Decker
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health ofAlexander Decker
 

Mais de Alexander Decker (20)

Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...
 
A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale in
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websites
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banks
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized d
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistance
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifham
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibia
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school children
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banks
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget for
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjab
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incremental
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniques
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo db
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloud
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveraged
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenya
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health of
 

Último

Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?XfilesPro
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphNeo4j
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxKatpro Technologies
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 

Último (20)

Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge GraphSIEMENS: RAPUNZEL – A Tale About Knowledge Graph
SIEMENS: RAPUNZEL – A Tale About Knowledge Graph
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 

11.dynamic instruction scheduling for microprocessors having out of order execution

  • 1. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) Vol 3, No.4, 2012 Dynamic Instruction Scheduling For Microprocessors Having Out Of Order Execution Suresh Kumar, Vishal Gupta*, Vivek Kumar Tamta Department of Computer Science, G. B. Pant Engineering College, Pauri, Uttarakhand, INDIA * E-mail of the corresponding author: vishalgupta87@gmail.com Abstract Dynamic Instruction Scheduling is very much needed for fast working of multiprocessor and reduction of overhead by the processor. The Instruction scheduling logic mainly depends on associative searching of the entries to the dynamic wakeup instructions for the execution. We also describes the scheduler concept which also the concern for scalability and complexity of the multiprocessor. We have different Dynamic Instruction Scheduling Logic highlighting the objectives, goals, advantages and challenges facing during scheduling logic like energy issues and complexity issues as well as full description of dynamic instruction Scheduling logic. In this paper, we will be presented in a comprehensive analysis to reschedule the execution order of instructions for improve the performance of microprocessor. General Terms: Design, Performance, Measurement Keywords: Dynamic Instruction Scheduling, Instruction Grouping, Issue Queue. 1. Introduction General purpose microprocessors usually apply superscalar and out-of-order execution. These microprocessors should dynamically extract instruction level parallelism (ILP) for high performance because they are required to execute various types of programs efficiently and must also have to run a number of legacy binary codes. However, dynamic instruction scheduling logic for out-of-order execution has a serious problem in that it consumes a significant amount of energy due to the complicated nature of its hardware logic. We propose a micro architectural technique and hardware implementation to reduce the complexity and energy consumption of dynamic instruction scheduling logic by grouping instructions together in the instruction queue. The concept of the proposed method is to group several instructions together and let the dynamic instruction scheduling logic treats them as a single instruction. Thus, grouping should be performed in the dispatch stage when instructions are written into the instruction queue. In the present paper, we propose the grouping of two instructions by using dependence information. If issuing one instruction is the only requirement for starting the other instruction, this pair will be grouped together. By treating the grouped instructions as a single instruction, dynamic instruction scheduling logic becomes capable of holding and issuing a greater number of instructions without increasing the size or number of ports of the instruction queue or the selection logic. 2. Logic behind Scheduling This shows the conventional dynamic instruction scheduling logic of a four-way out-of-order superscalar processor. The right hand side of the figure shows the instruction queue, and the blue line indicates a single 10
  • 2. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) Vol 3, No.4, 2012 entry, which is composed of the CAM logic for tag matching and the payload RAM (op codes, physical register number, offsets, etc.). The left-hand side shows the selection logic. The instruction queue has four read and write ports for dispatch and issue, respectively, and the selection logic has the ability to select four instructions per cycle. 3. Proposed Technique The objective of this study is to reduce the complexity and energy consumption of dynamic instruction scheduling. We propose the grouping of several instructions together in order to reduce the required hardware of dynamic instruction scheduling. A. Grouping Condition By grouping two instructions, waking up, selecting, and issuing only the first instruction leads to the issuing of both instructions because the second instruction should be issued in the cycle following the first instruction. We decided to group a single latency operation for the first instruction because this can simplify the logic and the hardware used to support the proposed technique, which is described in Section C. The candidate of grouping, the latency of which is single cycle, is an integer ALU operation. We are required to implement the proposed technique in the instruction queue, in which the integer instructions are dispatched. The details of hardware implementation of the proposed dynamic instruction scheduling logic are described in Section C. Instruction pairs, which are candidates of the proposed grouping technique, can be classified into the following two types. [I] The issuing of one instruction is the only requirement for issuing the other instruction. [II] Both instructions can be issued in the same cycle. We first discuss about Type [I], which consists of two different types of pairs, each of which will be explained using an example. Instruction A: add r5 ← r3, r2 Instruction B: add r4 ← r5, R (1) We can see that right operand of Instruction B is ready, and the left operand r5 is the destination of Instruction A. Therefore, only the condition of issuing Instruction A enables Instruction B to be issued in the next cycle. The pair of instructions below also belongs to Type [I]. Instruction A : add r5 ← r3, r2 Instruction B : add r4 ← r5, r3 (2) The left operand of Instruction B is the destination of Instruction A and is the same as Example (1). Furthermore, the right operand r3 of Instruction B is the left operand of Instruction A, so if Instruction A is ready for issue, r3 is also ready. For this reason, the above instructions can be grouped together, as in the case of Example (1). Next, we describe Type [II]. The instructions of Example (3), given below, are both ready for issue, because both the left and right operands are ready. Since both instructions are ready, they can be grouped, although there are no data dependencies between these two instructions. In this case, the previous instruction in program order is issued first. When grouping is not performed, these two instructions might be able to be executed in the same cycle. Therefore, the second instruction will be issued one cycle later and may cause performance degradation. However, in the proposed technique, it is important to obtain a high throughput by grouping as many instructions as possible. Moreover, ready instructions usually stay in the instruction queue for only a short time, and most of these instructions are not critical to the performance. Thus, even if the second instruction is issued one cycle later, there is almost no performance degradation. Therefore, we group ready instructions. Although more patterns that belong to the above two types exist, we decided to group only three types of pairs described above. Instruction A : add r1 ← R, R 11
  • 3. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) Vol 3, No.4, 2012 Instruction B : add r2 ← R, R (3) B. Improved Implementation We resolve the low flexibility of the dispatch stage, which is a fundamental problem of the dynamic instruction scheduling of Figure 1. 4. Experimental Setup We used the Simple Scalar Tool Set as the base simulation environment. We extended Simple Scalar so that the proposed microarchitecture was evaluated. For estimating the energy consumption, we used the Wattch extension. We used all of the programs of the SPEC CPU2000 integer benchmark suite. The programs were compiled by the DEC C compiler for Alpha AXP instruction set architecture (ISA). We fast-forwarded two billion instructions and simulated 200 million instructions for all of the evaluated programs. Table 1 shows the processor configuration assumptions used for the evaluation. In order to group several instructions, we assumed an integer-load/store queue. Both the integer and load/store instructions are dispatched to this queue. By this assumption, we can group not only two integer ALU operations, but also the integer ALU operation for the first instruction and a load instruction for the second instruction. The size of the integer-load/store queue was varied throughout the evaluation from 16 to 128. We ignored the energy consumption of the additional hardware as negligible. 5. Result A. Performance As seen from the figure 3, the IPC degrades gradually as the instruction queue size decreases. This is because the number of instructions to execute in parallel in order to exploit ILP is limited by the lack of free entry of the instruction queue. B. Energy Consumption The proposed technique saves energy due to the reduced instructions to select and issue, which simplifies the instruction scheduling logic. Figure 4 presents the average energy savings of the instruction scheduling logic of FULL (denotes new proposed logic). In 48-entry FULL, the energy saving was 56%, 7%, 52%, and 58% for dispatch, wake-up, select, and issue, respectively, compared to the conventional dynamic instruction scheduling logic. Except for the wake-up stage, the reduction in energy consumption is large. In the case that energy consumption of the wake-up stage is dominant; we should consider halving the number of entries of the proposed implementation in order to reduce the energy consumption of the wake-up logic. 7. Conclusion In the present work, we introduced a dynamic instruction scheduling concept that groups several instructions. The proposed technique enables that logic to hold and issue more instructions without increasing the size or number of ports and energy consumption is also reduced by grouping the instructions. References T. M. Austin, E. Larson, and D. Ernst. Simple scalar: An infrastructure for computer system modeling. IEEE Computer, 35(2):59–67, 2002. Hiroshi Sasaki, Masaaki Kondo and Hiroshi Nakamura. Energy-Efficient Dynamic Instruction scheduling 12
  • 4. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) Vol 3, No.4, 2012 logic through instruction grouping. IEEE Transactions on VLSI Systems, Vol. 17, No. 6, 2009 A. Buyuktosunoglu, T. Karkhanis, D. H. Albonesi, and P. Bose. Energy efficient co-adaptive instruction fetch and issue. In ISCA, pp. 147–156, 2003. R. Canal and A. Gonz´alez. Reducing the complexity of the issue logic. In ICS, pp. 312–320, 2001. D. Folegnani and A. Gonz´alez. Energy-effective issue logic. In ISCA, pp. 230–239, 2001. P. Michaud and A. Seznec. Data-flow prescheduling for large instruction windows in out-of-order processors. In HPCA, pp. 27–36, 2001. J. J. Sharkey and D. V. Ponomarev. Efficient instruction schedulers for smt processors. In HPCA, 2006. J. J. Sharkey, D. V. Ponomarev, K. Ghose, and O. Ergin. Instruction packing: reducing power and delay of the dynamic scheduling logic. In ISLPED, pp. 30–35, 2005. S. A. Taylor, M. Quinn, D. Brown, N. Dohm, S. Hildebrandt, J. Huggins, and C. Ramey. Functional verification of a multiple-issue, out-of-order, superscalar alpha processor - the dec alpha 21264 microprocessor. In DAC, pp. 638–643, 1998. Figure 1: Conventional dynamic scheduling Figure 2: Showing grouping of Instructions 13
  • 5. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) Vol 3, No.4, 2012 Figure 3: Average IPC Figure 4: Average Energy of FULL Fetch & Decode width 4 Branch prediction Combined bimodal (4K- entry) gshare (4K-entry), selector(4K-entry) BTB 1,024 sets, four-way Mis-prediction penalty three cycles Reorder buffer size 96 Memory latency 100 cycles Bus width 16B Bus clock 1/4 of processor the core Instruction queue size 32 - floating-point Commit Width 4 Table 1: Processor configuration 14
  • 6. International Journals Call for Paper The IISTE, a U.S. publisher, is currently hosting the academic journals listed below. The peer review process of the following journals usually takes LESS THAN 14 business days and IISTE usually publishes a qualified article within 30 days. Authors should send their full paper to the following email address. More information can be found in the IISTE website : www.iiste.org Business, Economics, Finance and Management PAPER SUBMISSION EMAIL European Journal of Business and Management EJBM@iiste.org Research Journal of Finance and Accounting RJFA@iiste.org Journal of Economics and Sustainable Development JESD@iiste.org Information and Knowledge Management IKM@iiste.org Developing Country Studies DCS@iiste.org Industrial Engineering Letters IEL@iiste.org Physical Sciences, Mathematics and Chemistry PAPER SUBMISSION EMAIL Journal of Natural Sciences Research JNSR@iiste.org Chemistry and Materials Research CMR@iiste.org Mathematical Theory and Modeling MTM@iiste.org Advances in Physics Theories and Applications APTA@iiste.org Chemical and Process Engineering Research CPER@iiste.org Engineering, Technology and Systems PAPER SUBMISSION EMAIL Computer Engineering and Intelligent Systems CEIS@iiste.org Innovative Systems Design and Engineering ISDE@iiste.org Journal of Energy Technologies and Policy JETP@iiste.org Information and Knowledge Management IKM@iiste.org Control Theory and Informatics CTI@iiste.org Journal of Information Engineering and Applications JIEA@iiste.org Industrial Engineering Letters IEL@iiste.org Network and Complex Systems NCS@iiste.org Environment, Civil, Materials Sciences PAPER SUBMISSION EMAIL Journal of Environment and Earth Science JEES@iiste.org Civil and Environmental Research CER@iiste.org Journal of Natural Sciences Research JNSR@iiste.org Civil and Environmental Research CER@iiste.org Life Science, Food and Medical Sciences PAPER SUBMISSION EMAIL Journal of Natural Sciences Research JNSR@iiste.org Journal of Biology, Agriculture and Healthcare JBAH@iiste.org Food Science and Quality Management FSQM@iiste.org Chemistry and Materials Research CMR@iiste.org Education, and other Social Sciences PAPER SUBMISSION EMAIL Journal of Education and Practice JEP@iiste.org Journal of Law, Policy and Globalization JLPG@iiste.org Global knowledge sharing: New Media and Mass Communication NMMC@iiste.org EBSCO, Index Copernicus, Ulrich's Journal of Energy Technologies and Policy JETP@iiste.org Periodicals Directory, JournalTOCS, PKP Historical Research Letter HRL@iiste.org Open Archives Harvester, Bielefeld Academic Search Engine, Elektronische Public Policy and Administration Research PPAR@iiste.org Zeitschriftenbibliothek EZB, Open J-Gate, International Affairs and Global Strategy IAGS@iiste.org OCLC WorldCat, Universe Digtial Library , Research on Humanities and Social Sciences RHSS@iiste.org NewJour, Google Scholar. Developing Country Studies DCS@iiste.org IISTE is member of CrossRef. All journals Arts and Design Studies ADS@iiste.org have high IC Impact Factor Values (ICV).